WO2005031803A9 - Thermal processing system with cross flow injection system with rotatable injectors - Google Patents

Thermal processing system with cross flow injection system with rotatable injectors

Info

Publication number
WO2005031803A9
WO2005031803A9 PCT/US2004/031063 US2004031063W WO2005031803A9 WO 2005031803 A9 WO2005031803 A9 WO 2005031803A9 US 2004031063 W US2004031063 W US 2004031063W WO 2005031803 A9 WO2005031803 A9 WO 2005031803A9
Authority
WO
WIPO (PCT)
Prior art keywords
flow
injection
cross
liner
wafers
Prior art date
Application number
PCT/US2004/031063
Other languages
French (fr)
Other versions
WO2005031803A3 (en
WO2005031803A2 (en
Inventor
Bois Dale R Du
Cole Porter
Robert B Herring
Original Assignee
Aviza Tech Inc
Bois Dale R Du
Cole Porter
Robert B Herring
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Tech Inc, Bois Dale R Du, Cole Porter, Robert B Herring filed Critical Aviza Tech Inc
Priority to JP2006528134A priority Critical patent/JP2007515054A/en
Priority to EP04784778A priority patent/EP1676294A4/en
Publication of WO2005031803A2 publication Critical patent/WO2005031803A2/en
Publication of WO2005031803A9 publication Critical patent/WO2005031803A9/en
Publication of WO2005031803A3 publication Critical patent/WO2005031803A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An apparatus (100) is provided for thermally processing substrates (108) held in the carrier (106). The apparatus (100) includes an injection system (116) which provides for selectable injection of gases to the process chamber (101). The injection system (116) comprises one or more eleongated injection tubes (116) having a plurality of injection ports (180) or orifices (180) distributed in the tubes (116) for directing flow of reactant and other gases across the surface of each substrate (108). The elongated injection tubes (116) are rotatable about an axis in 360 degrees.

Description

THERMAL PROCESSING SYSTEM WITH CROSS FLOW INJECTION SYSTEM WITH ROTATABLE INJECTORS
CROSS REFERENCE TO RELATED APPLICATION This application claims the benefit of and priority to U.S. Provisional Patent Application No. 60/506,354 filed September 25, 2003, the disclosure of which is hereby incorporated by reference in its entirety, and is related to PCT application Serial No. PCT/US03/21575 entitled Thermal Processing System and Configurable - Vertical Chamber, which claims priority to U.S. Provisional patent application Serial Nos. 60/396,536 and 60/428,526, the disclosures of all of which are hereby incorporated by reference in their entirety.
TECHNICAL FIELD The present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to an apparatus and method for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
BACKGROUND Thermal processing apparatuses are commonly used in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers include, for example, heat treating, annealing, difϊusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the substrate. These processes often call for the wafer to be heated to a temperature as high asl300°C and as low as 300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer. Moreover, these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process chamber. A conventional thermal processing apparatus typically consists of a voluminous process chamber positioned in or surrounded by a furnace. Substrates to be thermally processed are sealed in the process chamber, which is then heated by the furnace to a desired temperature at which the processing is performed. For many processes, such as Chemical Vapor Deposition (CVD), the sealed process chamber is first evacuated, and once the process chamber has reached the desired temperature a reactive or process gases are introduced to form or deposit reactant species on the substrates. In the past, thermal processing apparatus typically and in particular vertical thermal processing apparatuses, required guard heaters disposed adjacent to sidewalls of the process chamber above and below the process zone in which product wafers were processed. This arrangement is undesirable since it entails a larger chamber volume that must be pumped down, filled with process gas or vapor, and backfilled or purged, resulting in increased processing time. Moreover, this configuration takes up a tremendous amount of space and power due to a poor view factor of the wafers from the heaters. Other problems with conventional thermal processing apparatuses include the considerable time required both before processing to ramp up the temperature of the process chamber and the wafer to be treated, and the time required after processing to ramp down the temperature. Furthermore, additional time is often required to ensure the temperature of the process chamber has stabilized uniformly at the desired temperature before processing can begin. While the actual time required for processing of the wafers may be half hour or less, pre- and post-processing times typically take 1 to 3 hours or longer. Thus, the time required to quickly ramp up and/or down the temperature of the process chamber to a uniform temperature significantly limits the throughput of the conventional thermal processing apparatus. A fundamental reason for the relatively long ramp up and ramp down times is the thermal mass of the process chamber andor furnace in conventional thermal processing apparatuses, which must be heated or cooled prior to effectively heating or cooling the wafer. A common approach to minimizing or offsetting this limitation on throughput of conventional thermal processing apparatus has been to increase the number of wafers capable of being processed in a single cycle or run. Simultaneous processing of a large number of wafers helps to maximize the effective throughput of the apparatus by reducing the effective processing time on a per wafer basis. However, this approach also increases the magnitude of the risk should something go wrong during processing. That is a larger number of wafers could be destroyed or damaged by a single failure, for example, if there was an equipment or process failure during a single processing cycle. This is particularly a concern with larger wafer sizes and more complex integrated circuits where a single wafer could be valued at from $ 1 ,000 to $10,000 depending on the stage of processing. Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers increases the thermal mass effects of the process chamber, thereby reducing the rate at which the wafer can be heated or cooled. Moreover, larger process chambers processing larger batches of wafers leads to or compounds a first-in-last-out syndrome in which the first wafers loaded into the chamber are also the last wafers removed, resulting in these wafers being exposed to elevated temperatures for longer periods and reducing uniformity across the batch of wafers. Another problem with the above approach is that systems and apparatuses used for many of the processes before and after thermal processing are not amenable to simultaneous processing of large numbers of wafers. Thus, thermal processing of large batches or large numbers wafers, while increasing the throughput of the thermal processing apparatus, can do little to improve the overall throughput of the semiconductor fabrication facility and may actually reduce it by requiring wafers to accumulate ahead of the thermal processing apparatus or causing wafers to bottleneck at other systems and apparatuses downstream therefrom. An alternative to the conventional thermal processing apparatus described above, are rapid thermal processing (RTP) systems that have been developed for rapidly thermal processing of wafers. Conventional RTP systems generally use high intensity lamps to selectively heat a single wafer or small number of wafers within a small, transparent, usually quartz, process chamber. RTP systems minimize or eliminate the thermal mass effects of the process chamber, and since the lamps have very low thermal mass, the wafer can be heated and cooled rapidly by instantly taming the lamps on or off. Unfortunately, conventional RTP systems have significant shortcomings including the placement of the lamps, which in the past were arranged in zones or banks each consisting of a number of lamps adjacent to sidewalls of the process chamber. This configuration is problematic because it takes up a tremendous amount of space and power in order to be effective due to their poor view factor, all of which are at a premium in the latest generation of semiconductor processing equipment. Another problem with conventional RTP systems is their inability to provide uniform temperature distribution across multiple wafers within a single batch of wafers and even across a single wafer. There are several reasons for this non-uniform temperature distribution including (i) a poor view factor of one or more of the wafers by one or more of the lamps, and (ii) variation in output power from the lamps. Moreover, failure or variation in the output of a single lamp can adversely affect the temperature distribution across the wafer. Because of this in most lamp- based systems, the wafer or wafers are rotated to ensure that the temperature non- uniformity due to the variation in lamp output is not transferred to the wafer during processing. However, the moving parts required to rotate the wafer, particularly the rotating feedthrough into the process chamber, adds to the cost and complexity of the system, and reduces the overall reliability thereof. Yet another troublesome area for RTP systems is in maintaining uniform temperature distribution across the outer edges and the center of the wafer. Most conventional RTP systems have no adequate means to adjust for this type of temperature non-uniformity. As a result, transient temperature fluctuations occur across the surface of the wafer that can cause the formation of slip dislocations in the wafer at high temperatures, unless a black body susceptor is used that is larger in diameter than the wafer. Conventional lamp-based RTP systems have other drawbacks. For example, there are no adequate means for providing uniform power distribution and temperature umformity during transient periods, such as when the lamps are powered on and off, unless phase angle control is used which produces electrical noise.
Repeatability of performance is also usually a drawback of lamp-based systems, since each lamp tends to perform differently as it ages. Replacing lamps can also be costly and time consuming, especially when one considers that a given lamp system may have upwards of 180 lamps. The power requirement may also be costly, since the lamps may have a peak power consumption of about 250 kWatts. Accordingly, there is a need for an apparatus and method for quickly and uniformly heating a batch of one or more substrates to a desired temperature across the surface of each substrate in the batch of during thermal processing.
SUMMARY OF THE INVENTION The present invention provides a solution to these and other problems, and offers other advantages over the prior art. The present invention provides an apparatus and method for isothermally heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer. A thermal processing apparatus is provided for processing substrates held in a carrier at high or elevated temperatures. The apparatus includes a process chamber having a top wall, a side wall and a bottom wall, and a heating source having a number of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide an isothermal environment in a process zone in which the carrier is positioned to thermally process the substrates. According to one aspect, the dimensions of the process chamber are selected to enclose a volume substantially no larger than a. volume necessary to accommodate the carrier, and the process zone extends substantially throughout the process chamber. Preferably, the process chamber has dimensions selected to enclose a volume substantially no larger than 125% of that necessary to accommodate the carrier. More preferably, the apparatus further includes a pumping system to evacuate the process chamber prior to processing pressure and a purge system to backfill the process chamber after processing is complete, and the dimensions of the process chamber are selected to provide both a rapid evacuation and a rapid backfilling of the process chamber. According to another aspect of the invention, the bottom wall of the process chamber includes a movable pedestal having at least one heating element therein, and the movable pedestal is adapted to be lowered and raised to enable the carrier with the substrates to be inserted into and removed from the process chamber. In one embodiment, the apparatus further includes a removable thermal shield adapted to be inserted between heating element in the pedestal and the substrates held the carrier. The thermal shield is adapted to reflect thermal energy from the heating element in the pedestal back to the pedestal, and to shield the substrates on the carrier from thermal energy from the heating element in the pedestal. In one version of this embodiment, the apparatus further includes a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position. Where the apparatus includes a pumping system to evacuate the process chamber, and the shutter can be adapted to seal with the process chamber, thereby enabling the pumping system to evacuate the process chamber when the pedestal is in the lowered position. In yet another embodiment, the apparatus further includes a magnetically coupled repositioning system that repositions the carrier during thermal processing of the substrates. Preferably, the mechanical energy used to reposition the carrier is magnetically coupled through the pedestal to the carrier without use of a movable feedthrough into the process chamber, and substantially without moving the heating element in the pedestal. More preferably, the magnetically coupled repositioning system is a magnetically coupled rotation system that rotates the carrier within the process zone during thermal processing of the substrates. According to yet another aspect of the invention, the apparatus further includes a liner separating the carrier frorn the top wall and the side wall of the process chamber, and a distributive or cross-flow injection system to direct flow of a fluid across surfaces of each of the substrates held in the carrier. The cross-flow injection system generally includes a cross-flow injector having a number of injection ports positioned relative to substrates held in the carrier, and through which the fluid is introduced on one side of the number of substrates. A number of exhaust ports in the liner positioned relative to the substrates held in the carrier cause the fluid to flow across the surfaces of the substrates. Fluids introduced by the cross-flow injection system can include process gas or vapor, and inert purge gases or vapor used for purging or backfilling the chamber or for cooling the substrates therein. In another aspect, the apparatus of the present invention includes an injection system which provides for selectable injection of gases to the process chamber. In general, the injection system of the present invention comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate. The elongated injection tubes are rotatable about an axis in 360 degrees. In another embodiment, the apparatus of the present invention comprises a process chamber providing a process region for a plurality of substrates held in a carrier, a cross-flow liner enclosing the carrier, and a cross-flow injection system disposed between the carrier and the cross-flow liner to direct flow of one or more gases across the surface of each substrate. The cross-flow injection system comprising a plurality of injection ports rotatable about an axis.
BBJEF DESCRIPTION OF THE DRAWINGS These and various other features and advantages of the present invention will be apparent upon reading of the following detailed description in conjunction with the accompanying drawings and the appended claims provided below, where: FIG. 1 is a cross-sectional view of a thermal processing apparatus having a pedestal heater for providing an isothermal control volume according to an embodiment of the present invention, employing conventional up-flow configuration; FIG. 2 is a perspective view of an alternative embodiment a base-plate useful in the thermal processing apparatus shown in FIG. 1; FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus having a pedestal heater and a thermal shield according to an embodiment of the present invention; FIG. 4 is a diagrammatic illustration of the pedestal heater and thermal shield of FIG. 3 according to an embodiment of the present invention; FIG. 5 is a diagrammatic illustration of an embodiment of the thermal shield having a top layer of material with a high abso tivity and a lower layer of material with a high reflectivity according to present invention; FIG. 6 is a diagrammatic illustration of another embodiment of the thermal shield having a cooling channel according to present invention; FIG. 7 is a perspective view of an embodiment of a thermal shield and an actuator according to present invention; FIG. 8 is a cross-sectional view of a portion of a thermal processing apparatus having a shutter according to an embodiment of the present invention; FIG. 9 is a cross-sectional view of a process chamber having a pedestal heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention; FIG. 10 is a cross-sectional view of a thermal processing apparatus having a cross-flow injector system according to an embodiment of the present invention; FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG. 10 showing positions of injector orifices in relation to the liner and of exhaust slots in relation to the wafers according to an embodiment of the present invention; FIG. 12 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to an embodiment of the present invention; FIG. 13 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to another embodiment of the present invention; FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to yet another embodiment of the present invention; FIG. 15 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to still another embodiment of the present invention; FIG. 16 is a cross-sectional view of a thermal processing apparatus having an alternative up-flow injector system according to an embodiment of the present invention; FIG. 17 is a cross-sectional view of a thermal processing apparatus having an alternative down-flow injector system according to an embodiment of the present invention; FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature; and FIG. 19 is flowchart showing another embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature. FIG. 20 is a cross-sectional view of a thermal processing apparatus including an injection system according to one embodiment of the present invention. FIG. 21 shows an elongated tube having a plurality of injection ports in accordance with one embodiment of the present invention. FIG. 22 is a partial cross-sectional side view of a thermal processing apparatus showing connection of the injection system with a cross-flow liner and a base plate in accordance with one embodiment of the present invention. FIG. 23 is a partial cross-sectional top view of a thermal processing apparatus showing connection of the injection system with a cross-flow liner and a base plate in accordance with one embodiment of the present invention. FIG. 24 is a partial plan view of a liner top plate showing openings having notches. FIG. 25 is an external view of a cross-flow stepped liner showing a longitudinal bulging section according to one embodiment of the present invention. FIG. 26 is an external view of a cross-flow stepped liner showing a plurality of exhaust slots in the liner according to one embodiment of the present invention. FIG. 27 is a plan view of an injection system with a cross-flow liner having a bulging section showing gas flow from orifices that impinges the liner inner wall prior to flowing across a wafer and exiting an exhaust slot according to one embodiment of the present invention. FIG. 28 is a plan view of an injection system with a cross-flow liner having a bulging section showing gas flow from orifices that impinges each other prior to flowing across a wafer and exiting an exhaust slot according to one embodiment of the present invention. FIG. 29 is a plan view of an injection system with a cross-flow liner having a bulging section showing gas flow from orifices directing to the center of a wafer and exiting an exhaust slot according to one embodiment of the present invention. FIG. 30 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing the center of a substrate in accordance with one embodiment of the present invention for deposition of silicon nitride. FIG. 31 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing each other in accordance with one embodiment of the present invention for deposition of silicon nitride. FIG. 32 is CFD demonstration for a thermal processing apparatus including an injection system having injection ports facing the liner inner wall in accordance with one embodiment of the present invention for deposition of aluminum oxide.
DETAILED DESCRIPTION The present invention is directed to an apparatus and method for processing a relatively small number or mini-batch of one or more work pieces, such as semiconductor substrates or wafers, held in a carrier, such as a cassette or boat, that provides reduced processing cycle times and improved process uniformity. As used herein the term "mini-batch" means a number of wafers less than the hundreds of wafers found in the typical batch systems, and preferably in the range of from one to about fifty-three semiconductor wafers or wafers, of which from one to fifty are product wafers and the remainder are non-product wafers used for monitoring purposes and as baffle wafers. By thermal processing it is meant processed that in which the work piece or wafer is heated to a desired temperature which is typically in the range of about 350°C to 1300°C. Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, and etching or removal of material from the wafers. A thermal processing apparatus according to an embodiment will now be described with reference to FIG. 1. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are widely known to a person of skill in the art have been omitted. Such detail is described in more detail in, for example, commonly assigned U.S. Patent number 4,770,590, which is incorporated herein by reference. FIG. 1 is a cross-sectional view of an embodiment of a thermal processing apparatus for thermally processing a batch of semiconductor wafers. As shown, the thermal processing apparatus 100, generally includes a vessel 101 that encloses a volume to form a process chamber 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112-1, 112-2 and 112-3 (referred to collectively hereinafter as heating elements 112) for raising a temperature of the wafers to the desired temperature for thermal processing. The thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 112. In the embodiment shown the temperature sensing element is a profile T/C 114 that has multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process chamber 102. The thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process chamber 102 for processing and/or cooling the wafers 108, and one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and/or to cool the wafers. A liner 120 increases the concentration of processing gas or vapor near the wafers 108 in a region or process zone 128 in which the wafers are processed, and reduces contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process chamber 102. Processing gas or vapor exits the process zone through exhaust ports or slots 121 in the chamber liner 120. Generally, the vessel 101 is sealed by a seal, such as an o-ring 122, to a platform or base-plate 124 to form the process chamber 102, which completely encloses the wafers 108 during thermal processing. The dimensions of the process chamber 102 and the base-plate 124 are selected to provide a rapid evacuation, rapid heating and a rapid backfilling of the process chamber. Advantageously, the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions selected to enclose a volume substantially no larger than necessary to accommodate the carrier 106 with the wafers 108 held therein. Preferably, the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions of from about 125 to about 150% of that necessary to accommodate the carrier 106 with the wafers 108 held therein, and more preferably, the process chamber has dimensions no larger than about 125% of that necessary to accommodate the carrier and the wafers in order to minimize the chamber volume which aids in pump down and back-fill time required. Openings for the injectors 116, T/Cs 114 and vents 118 are sealed using seals such as o-rings, VCR®, or CF® fittings. Gases or vapor released or introduced during processing are evacuated through a foreline or exhaust port 126 formed in a wall of the process chamber 102 (not shown) or in a plenum 127 of the base-plate 124, as shown in FIG. 1. The process chamber 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 5 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi- vacuum pumps, and roughing, throttle and foreline valves. In another embodiment, shown in FIG. 2, the base-plate 124 further includes a substantially annular flow channel 129 adapted to receive and support an injector 116 including a ring 131 from which depend a number of vertical injector tube or injectors 116A. The injectors 116A can be sized and shaped to provide an up-flow, down flow or cross-flow flow pattern, as described below. The ring 131 and injectors 116A are located so as to inject the gas into the process chamber 102 between the boat 106 and the vessel 101. In addition, the injectors 116A are spaced apart around the ring 131 to uniformly introduce process gas or vapor into the process chamber 102, and may, if desired, be used during purging or backfilling to introduce a purge gas into the process chamber. The base-plate 124 is sized in a short cylindrical form with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137. The upper flange 133 is adapted to receive and support the vessel 101, and contains an o-ring 122 to seal the vessel to the upper flange. The base 137 is adapted to receive and support the liner 120 outside of where the ring 131 of injectors 116 is supported. Additionally, the base-plate 124 shown in FIG. 2 incorporates various ports including backfill/purge gas inlet ports 139, 143, cooling ports 145,147, provided to circulate cooling fluid in the base-plate 124, and a pressure monitoring port 149 for monitoring pressure within the process chamber 102. Process gas inlet ports 151, 161, introduce a gas from a supply (not shown) to the injectors 116. The backfill/purge ports 139,143, are provided at the sidewall 135 of the base-plate 124 principally to introduce a gas from a vent/purge gas supply (not shown) to the vents 118. A mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supplies and the ports 139, 143, 151 and 161 to control the gas flow into the process chamber 102. The vessel 101 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing. Preferably, the vessel 101 and liner 120 are made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. More preferably, the vessel 101 and liner 120 are made from quartz that reduces or eliminates the conduction of heat away from the region or process zone 128 in which the wafers 108 are processed. The batch of wafers 108 is introduced into the thermal processing apparatus 100 through a load lock or loadport (not shown) and then into the process chamber 102 through an access or opening in the process chamber or base-plate 124 capable of forming a gas tight seal therewith. In the configuration shown in FIG. 1, the process chamber 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal. The heating elements 112 include elements positioned proximal to a top 134 (elements 112-3), side 136 (elements 112-2) and bottom 138 (elements 112-1) of the process chamber 102. Advantageously, the heating elements 112 surround the wafers to achieve a good view factor of the wafers and thereby provide an isothermal control volume or process zone 128 in the process chamber in which the wafers 108 are processed. The heating elements 112-1 proximal to the bottom 138 of the process chamber 102 can be disposed in or on the pedestal 130. If desired, additional heating elements may be disposed in or on the base plate 124 to supplement heat from the heating elements 112-1. In the embodiment shown in FIG. 1 the heating elements 112-1 proximal to the bottom of the process chamber preferably are recessed in the movable pedestal 130. The pedestal 130 is made from a thermally and electrically insulating material or insulating block 140 having an electric, resistive heating elements 112-1 embedded therein or affixed thereto. The pedestal 130 further includes one or more feedback sensors or T/Cs 141 used to control the heating elements 112-1. In the configuration shown, the T/Cs 141 are embedded in the center of the insulating block 140. The side heating elements 112-2 and the top heating elements 112-3 may be disposed in or on an insulating block 110 about the vessel 101. Preferably the side heating elements 112-2 and the top heating elements 112-3 are recessed in the insulating block 110. The heating elements 112 and the insulating blocks 110 and 140 may be configured in any of a variety of ways and may be made in any of a variety of ways and with any of a variety of materials. Preferably, to attain desired processing temperatures of up to 1150°C the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 have a maximum power output of from about 0.1 kW to about 10 kW with a maximum process temperature of at least 1150°C. More preferably, these bottom heating elements 112-1 have a power output of at least about 3.8 kW with a maximum process temperature of at least 950°C. In one embodiment, the side heating elements 112-2 are functionally divided into multiple zones, including a lower zone nearest the pedestal 130 and upper zone, each of which are capable of being operated independently at different power levels and duty cycles from each other and from the top heating elements 112-3 and bottom heating elements 112-1. The heating elements 112 are controlled in any suitable manner, either by using a control technique of a type well known in the art. Contamination from the insulating block 140 and bottom heating elements 112-1 is reduced if not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142, which serves as a barrier between the heating element and insulation block and the process chamber 102. The crucible 142 is also sealed against the loadport and BHU environment to further reduce or eliminate contamination of the processing environment. Generally, the interior of the crucible 142 is at standard atmospheric pressure, so that the crucible 142 should be strong enough to withstand a pressure differential between the process chamber 102 and the pedestal 130 across the crucible 142 of as much as 1 atmosphere. While the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position (FIG. 3), the bottom heating elements 112-1 are powered to maintain an idle temperature lower than the desired processing temperature. For example, for a process having a desired processing temperature for the bottom heating elements of 950°C, the idle temperature can be from 50-150°. The idle temperature can be set higher for certain processes, such as those having a higher desired processing temperature and/or higher desired ramp up rate, or to reduce thermal cycling effects on the bottom heating elements 112-1, thereby extending element life. In order to further reduce preprocessing time, that is the time required to prepare the thermal processing apparatus 100 for processing, the bottom heating elements 112-1 can be ramped to at or below the desired process temperature during the push or load, that is while the pedestal 130 with a boat 106 of wafers 108 positioned thereon is being raised. However, to minimize thermal stresses on the wafers 108 and components of the thermal processing apparatus 100 it is preferred to have the bottom heating elements 112-1 reach the desired process temperature at the same time as the heating elements 112-3 and 112-2 located proximal to respectively the top 134 and side 136 of the process chamber 102. Thus, for some processes, such as those requiring higher desired process temperatures, the temperature of the bottom heating elements 112-1 can begin being ramped up before the pedestal 130 begins being raised, while the last of the wafers 108 in a batch are being loaded. Similarly, it will be appreciated that after processing and during the pull or unload cycle, that is while the pedestal 128 is being lowered, power to the bottom heating elements 112-1 can be reduce or removed completely to begin ramping down the pedestal 130 to the idle temperature, in preparation for cooling of the wafers 108 and unloading by the BHU. To assist in cooling the pedestal 130 to a pull temperature prior to the pull or unload cycle, a purge line for air or an inert purge gas, such as nitrogen, is installed through the insulating block 140. Preferably, nitrogen is injected through a passage 144 through the center of the insulating block 140 and allowed to flow out between the top of the insulating block 140 and the interior of the crucible 142 to a perimeter thereof. The hot nitrogen is then exhausted to the environment either through High Efficiency Particulate Air (HEPA) filter (not shown) or to a facility exhaust (not shown). This center injection configuration facilitates the faster cooling of the center of the wafers 108, and therefore is ideal to minimize the center/edge temperature differential of the bottom wafer or wafers, which could otherwise result in damage due to slip-dislocation of the crystal lattice structure. As noted above, to increase or extend the life of bottom heating element 112-1 the idle temperature can be set higher, closer to the desired processing temperature to reduce the effects of thermal cycling. In addition, it is also desirable to periodically bake out the heating elements 112-1 in an oxygen rich environment to promote the formation of a protective oxide surface coat. For example, where the resistive heating elements are formed from an Aluminum containing alloy, such as Kanthal®, baking out the heating elements 112-1 in an oxygen rich environment promotes an alumna oxide surface growth. Thus, the insulating block 140 can further include an oxygen line (not shown) to promote the formation of the protective oxide surface coat during bake out of the heating elements 112-1. Alternatively, oxygen for bake out can be introduced through the purge line used during processing to supply cooling nitrogen via a three-way valve. FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus 100. FIG. 3 shows the thermal processing apparatus 100 while the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position. In this mode of operation, the thermal processing apparatus 100 further includes a thermal shield 146 that can be rotated or slid into place above the pedestal 130 and the lower wafer 108 in the boat 106. To improve the performance of the thermal shield 146, generally the thermal shield is reflective on the side facing the heating elements 112-1 and absorptive on the side facing the wafers 108. Purposes of the thermal shield 146 include increasing the tate of cooling of the wafers 108 lower down in the boat 106, and assisting in maintaining the idle temperature of the pedestal 130 and bottom heating elements 112-1 to decrease the time required to ramp up the process chamber 102 to the desired processing temperature. An embodiment of a thermal processing apparatus having a thermal shield will now be described in further detail with reference to FIGs. 3 through 6. FIG. 3 also shows an embodiment of a thermal processing apparatus 100 having pedestal heating elements' 112-1 and a thermal shield 146. In the embodiment shown, the thermal shield 146 is attached via arm 148 to a rotable shaft 150 that is turned by an electric, pneumatic or hydraulic actuator to rotate the thermal shield 146 into a first position between the heated pedestal 130 and the lowest of the wafers 108 in the boat 106 during the pull or unload cycle, and removed or rotated to\a second position not between the pedestal and the wafers during at least, a final portion or end of the push or load cycle, just before the bottom of the boat 106 enters into the chamber 102. Preferably, . the rotable shaft 150 is mounted on or affixed to the- mechanism (not shown) used for raising and lowering the pedestal 130, thereby enabling the thermal shield 146 to be rotated into position as soon as the top of the pedestal has cleared the process chamber 102. Having the shield 146 in place during the load cycle enables the heating elements 112-1 to be heated to a desired temperature more rapidly than would otherwise be possible. Similarly, during unload cycle the shield 146 helps in cooling the wafers, particularly those closer to the pedestal, by reflect the heat radiating from the pedestal heating elements 112-1. Alternatively, the rotable shaft 150 can be a mounted on or affixed to another part of the thermal processing apparatus 100 and adapted to move axially in synchronization with the pedestal 130, or to rotate the thermal shield 146 into position only when the pedestal is fully lowered. FIG. 4 is a diagrammatic illustration of the pedestal heating elements 112-1 and thermal shield 146 of FIG. 3 illustrating the reflection of thermal energy or heat radiating from the bottom heating elements back to the pedestal 130 and the absorption of thermal energy or heat radiating from the lower wafer 108 in the batch or stack of wafers. It has been determined that the desired characteristics, high reflectivity and high absoφtivity, can be obtained using a number of different materials, such as metals, ceramic, glass or polymeric coatings, either individually or in combination. By way of example the following table list various suitable materials and corresponding parameters.
Table I
Figure imgf000020_0001
According to one embodiment the thermal shield 146 can be made from a single material such as silicon-carbide (SiC), opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other. Roughening a surface of the thermal shield 146 can significantly change its heat transfer properties, particularly its reflectivity. In another embodiment, the thermal shield 146 can be made from two different layers of material. FIG. 5 is a diagrammatic illustration of a thermal shield 146 having a top layer 152 of material, such as SiC or opaque quartz, with a high absoφtivity and a lower layer 154 of material or metal, such as polished stainless steel or polished aluminum, with a high reflectivity. Although shown as having approximately equal thicknesses, it will be appreciated that either the top layer 152 or the lower layer 154 can have a relatively greater thickness depending on specific requirements for the thermal shield 146, such as minimizing thermal stresses between the layers due to differences in coefficients of thermal expansion. For example, in certain embodiments the lower layer 154 can be an extremely thin layer or film of polished metal deposited, formed or plated on a quartz plate that forms the top layer 152. The materials can be integrally formed or interlocking, or joined by conventional means such as bonding or fasteners. In yet another embodiment, the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafers 108 from the bottom heating elements 112-1. In one version of this embodiment, shown in FIG. 6, the cooling channel 156 is formed between two different layers 152 and 154 of material. For example, the cooling channel 156 can be formed by milling or any other suitable technique in a highly absoφtive opaque quartz layer 152, and be covered by a metal layer 154 or coating such as a Titanium or Aluminum coating. Alternatively, the cooling channel 156 can be formed in the metal layer 154 or both the metal layer and the quartz layer 152. FIG. 7 is a perspective view of an embodiment of a thermal shield assembly 153 including the thermal shield 146, arm 148, rotable shaft 150 and an actuator 155. As shown in FIG. 8, the thermal processing apparatus 100 further includes a shutter 158 that can be rotated or slid or otherwise moved into place above the boat 106 to isolate the process chamber 102 from the outside or load port environment when the pedestal 130 is in the fully lowered position. For example, the shutter 158 can be slid into place above the carrier 106 when the pedestal 130 is in a lowered position, and raised to isolate the process chamber 102. Alternatively, the shutter 158 can be rotated or swung into place above the carrier 106 when the pedestal 130 is in a lowered position, and subsequently raised to isolate the process chamber 102. Optionally, the shutter 158 may be rotated about or relative to threaded screw or rod to simultaneously raise the shutter to isolate the process chamber 102 as it is swung into place above the carrier 106. For a process chamber 102 that is normally operated under vacuum, such as in a CVD system, the shutter 158 could form a vacuum seal against the base-plate 124 to allow the process chamber 102 to be pumped down to the process pressure or vacuum. For example, it may be desirable to pump down the process chamber 102 between sequential batches of wafers to reduce or eliminate the potential for contaminating the process environment. Forming a vacuum seal is preferably done with a large diameter seal, such as an o-ring, and thus the shutter 158 can desirably include a number of water channels 160 to cool the seal. In the embodiment shown in FIG. 8 the shutter 158 seals with the same o-ring 132 used to seal with the crucible 142 when the pedestal 130 is in the raised position. For a thermal processing apparatus 130 in which the process chamber 102 is normally operated at atmospheric pressure, the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the process chamber. One embodiment for accomplishing this involves the use of an opaque quartz plate, which may or may not further include a number of cooling channels underneath or internal thereto. When the pedestal 130 is in the fully lowered position, the shutter 158 is moved into position below the process chamber 102 and then raised to isolate the process chamber by one or more electric, hydraulic or pneumatic actuators (not shown). Preferably, the actuators are pneumatic actuators using from about 15 to 60 pounds per square inch gauge (PSIG) air, which is commonly available on thermal processing apparatus 100 for operation of pneumatic valves. For example, in one version of this embodiment the shutter 158 can comprise a plate having a number of wheels attached via short arms or cantilevers to two sides thereof. In operation, the plate or shutter 158 is rolled into position beneath the process chamber 102 on two parallel guide rails. Stops on the guide rails then cause the cantilevers to pivot translating the motion of the shutter 158 into an upward direction to seal the process chamber 102. As shown in FIG. 9, the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and the boat 106 along with the wafers 108 supported thereon during processing. Rotating the wafers 108 during processing improves within wafer (WIW) uniformity by averaging out any non-uniformities in the heating elements 112 and in process gas flows to create a uniform on-wafer temperature and species reaction profile. Generally, the wafer rotation system 162 is capable of rotated the wafers 108 at a speed of from about 0.1 to about 10 revolutions per minute (RPM). The wafer rotation system 162 includes a drive assembly or rotating mechanism 164 having a rotating motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistive container, such as annealed polytetrafluoroethylene or stainless steel. A steel ring ,170 located just below the insulating block 140 of the pedestal 130, and a drive shaft 172 with the insulating block transfer the rotational energy to another magnet 174 located above the insulating block in a top portion of the pedestal. The steel ring 170, drive shaft 172 and second magnet 174 are also encased in a chemically resistive container compound. The magnet 174 located in the side of the pedestal 130 magnetically couples through the crucible 142 with a steel ring or magnet 176 embedded in or affixed to the support 104 in the process chamber 102. Magnetically coupling the rotating mechanism 164 through the pedestal 130 eliminates the need for locating it within the processing environment or for having a mechanical feedthrough, thereby eliminating a potential source of leaks and contamination. Furthermore, locating rotating mechanism 164 outside and at some distance from the processing minimizes the maximum temperature of to which it is exposed, thereby increasing the reliability and operating life of the wafer rotation system 162. In addition to the above, the wafer rotation system 162 can further include one or more sensors (not shown) to ensure proper boat 106 position and proper magnetic coupling between the steel ring or magnet 176 in the process chamber 102 and the magnet 174 in the pedestal 130. A sensor which determines the relative position of the boat 106, or boat position verification sensor, is particularly useful. In one embodiment, the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and an optical or laser sensor located below the base-plate
124. In operation, after, the wafers 108 have been processed and the pedestal 130 is lowered about 3 inches below the base-plate 124. There, the wafer rotation system
162 is commanded to turn the boat 106 until the boat sensor protrusion can be seen.
Then, the wafer rotation system 162 is operated to align the boat so that the wafers 108 can be unloaded. After this is done, the boat is lowered to the load/unload height.
After the initial check, it is only capable of verifying the boat location from the flag sensor. As shown in FIG. 10, improved injectors 216 are preferably used in the thermal processing apparatus 100. The injectors 216 are distributive or cross(X)-flow injectors 216-1 in which process gas or vapor is introduced through injector openings or orifices 180 on one side of the wafers 108 and boat 106 and caused to flow across the surfaces of the wafers in a laminar flow to exit exhaust ports or slots 182 in the chamber line 120 on opposite the side. X-flow injectors 116-1 improve wafer 108 to wafer uniformity within a batch of wafers 108 by providing an improved distribution of process gas or vapor over earlier up-flow or down flow configurations. Additionally, X-flow injectors 216 can serve other puφoses, including the injection of gases for cool-down (e.g., helium, nitrogen, hydrogen) for forced convective cooling between the wafers 108. Use of X-flow injectors 216 results in a more uniform cooling between wafers 108 whether disposed at the bottom or top of the stack or batch and those wafers that are disposed in the middle, as compared with earlier up-flow or down flow configurations. Preferably, the injector 216 orifices 180 are sized, shaped and position to provide a spray pattern that promotes forced convective cooling between the wafers 108 in a manner that does not create a large temperature gradient across the wafer. } FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing illustrative portions of the injector orifices 180 in relation to the chamber liner 120 and the exhaust slots 182 in relation to the wafers 108. FIG. 12 is a plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing laminar gas flow from the orifices 180-1 and 180-2 of primary and secondary injectors 184, 186, across an illustrative one of the wafers 108 and to exhaust slots 182-1 and 182-2 according to one embodiment. It should be noted that the position of the exhaust slot 182 as shown in FIG. 10 have been shifted from the position of exhaust slots 182-1 and 182-2 shown in FIG. 12 to allow illustration of the exhaust slot and injector 116-1 in a single a cross-sectional view of a thermal processing apparatus. It should also be noted that the dimensions of the injectors 184, 186, and the exhaust slots 182-1 and 182-2 relative to the wafer 108 and the chamber liner 120 have been exaggerated to more clearly illustrate the gas flow from the injectors to the exhaust slots. Also as shown in FIG. 12, the process gas or vapor is initially directed away from the wafers 108 and toward the liner 120 to promote mixing of the process gas or vapor before it reaches the wafers. This configuration of orifices 180-1 and 180-2 is particularly useful for processes or recipes in which different reactants are introduced from each of the primary and secondary injectors 184, 186, for example to form a multi-component film or layer. FIG. 13 is another plan view of a portion of the thermal processing apparatus
100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to another embodiment. FIG. 14 is another plan view of a portion of the thermal processing apparatus
100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to yet another embodiment. FIG. 15 is another plan view of a portion of the thermal processing apparatus
100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184; 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to still another embodiment. FIG. 16 is a cross-sectional view of a thermal processing apparatus 100 having two or more up-flow injectors 116-1 and 116-2 according to an alternative embodiment. In this embodiment, process gas or vapor admitted from the process injectors 116-1 and 116-2 having respective outlet orifices low in the process chamber 102 flows up and across the wafers 108, and spent gases exit exhaust slots 182 in the top of the liner 120. An up-flow injector system is also shown in FIG. 1. FIG. 17 is a cross-sectional view of a thermal processing apparatus 100 having a down-flow injector system according to an alternative embodiment. In this embodiment, process gas or vapor admitted from process injectors 116-1 and 116-2 having respective orifices high in the process chamber 102 flows down and across the wafers 108, and spent gases exit exhaust slots 182 in the lower portion of the liner 120. Advantageously, the injectors 116, 216, and/or the liner 120 can be quickly and easily replaced or swapped with other injectors and liners having different points for the injection and exhausting of the process gas from the process zone 128. It will be appreciated by those skilled in the art that the embodiment of the x-flow injector 216 shown in FIG. 10 adds a degree of process flexibility by enabling the flow pattern within the process chamber 102 to be quickly and easily changed from a cross-flow configuration, as shown in FIG. 10, to an up-flow configuration, as shown in FIGs. 1 and 16, or a down-flow configuration, as shown in FIG. 17. This can be accomplished through the use of easily installable injector assemblies 216 and liners 120 to convert the flow geometry from cross-flow to an up-flow or down-flow. The injectors 116, 216,and the liner 120 can be separate components, or the injector can be integrally formed with liner as a single piece. The latter embodiment is particular useful in applications where it is desirable to frequently change the process chamber 102 configuration. An illustrative method or process for operating the thermal processing apparatus 100 is described with reference to FIG. 18. FIG. 18 is a flowchart showing steps of a method for thermally processing a batch of wafers 108 wherein each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature. In the method, the pedestal 130 is lowered, and the thermal shield 142 is moved into a position while the pedestal 130 is lowered to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof, and to insulate the finished wafers 108 (step 190). Optionally, the shutter 158 is moved into position to seal or isolate the process chamber 102 (step 192), and power is applied to the heating elements 112-2, 112-3, to begin pre-heating the process chamber 102 to or maintain at an intermediate or idling temperature (step 194). A carrier or boat 106 loaded with new wafers 108 is positioned on the pedestal 130 (step 196). The pedestal 130 is raised to position the boat in the process zone 128, while simultaneously removing the shutter 158, the thermal shield 142, and ramping-up the bottom heating element 112-1 to preheat the wafers to an intermediate temperature (step 197). Preferably, the thermal shield 142 is removed just before the boat 106 is positioned in the process zone 128. A fluid, such as a process gas or vapor, is introduced on one side of the of wafers 108 through a plurality of injection ports 180 (step 198). The fluid flows from the injection ports 180 across surfaces of the wafers 108 to exhaust ports 182 positioned in the liner 120 on the opposite side of the wafers relative to the injection ports (step 199). Optionally, the boat 106 can be rotated within the process zone 128 during thermal processing of the batch of wafers 108 to further enhance uniformity of the thermal processing, by magnetically coupling mechanical energy through the pedestal 130 to the carrier or boat 106 to reposition it during thermal processing of the wafers (step 200). A method or process for a thermal processing apparatus 100 according to another embodiment will now be described with reference to FIG. 19. FIG. 19 is a flowchart showing steps of an embodiment of a method for thermally processing a batch of wafers 108 in a carrier. In the method, an apparatus 100 is provided having a process chamber 102 with dimensions and a volume not substantially larger than necessary (guard heaters absent) to accommodate the carrier 106 with the wafers 108 held therein. The pedestal 130 is lowered, and the boat 106 with the wafers 108 held therein positioned thereon (step 202). The pedestal 130 is raised to insert the boat in the process chamber 102, while simultaneously preheating the wafers 108 to an intermediate temperature (step 204). Power is applied to the heating elements 112-1, 112-2, 112-3, each disposed proximate to at least one of the top wall 134, the side wall 136 and the bottom wall 138 of the process chamber 102 to begin heating the process chamber (step 206). Optionally, power to at least one of the heating elements is adjusted independently to provide a substantially isothermal environment at a desired temperature in a process zone 128 in the process chamber 102 (step 208). When the wafers 108 have been thermally processed, and while maintaining the desired temperature in the process zone 128, the pedestal 130 is lowered, and the thermal shield 142 is moved into position to insulate the finished wafers 108 and to- reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof (step 210). Also, optionally, the shutter 158 is moved into position to seal or isolate the process chamber 102, and power applied to the heating elements 112-2, 112-3, to maintain the temperature of the process chamber (step 212). The boat 106 is then removed from the pedestal 130 (step 214), and another boat loaded with a new batch of wafers to be processed positioned on the pedestal (step 216). The shutter 158 is repositioned or removed (step 218), and the thermal shield withdrawn or repositioned to preheat the wafers 108 in the boat 106 to an intermediate temperature while simultaneously raising the pedestal 130 to insert the boat into the process chamber 102 to thermally process the new batch of wafers (step 220). 5 It has been determined that the thermal processing apparatus 100 provided and operated as described above, reduces the processing or cycle time by about 75% over conventional systems. For example, a conventional large batch thermal processing apparatus may process 100 product wafers in about 232 minutes, including preprocessing and post-processing time. The inventive thermal processing apparatus 100 -10 performs the same processing on a mini-batch of 25 product wafers 108 in about 58 minutes. An injection system in accordance with one embodiment of the present invention will be now described with reference to FIGS. 20 through 32. Injectors having injection ports or orifices distributed in elongated tubes have 15 been used in both horizontal and vertical furnaces to control gas concentration across the surface of substrates. Typically, two or more injectors are used to distribute similar or different gases depending on specific applications. For example, for deposition of P-doped polysilicon, .injectors with distributed injection ports have been used to introduce PH3 gas across a wafer load in a furnace to provide a uniform gas
20 concentration. Injectors with distributed injection ports are used to ensure that the properties of the deposited films are the same across the wafer load. Traditionally, the injectors are fixed, i.e., the direction of injection ports or orifices in the injectors are fixed and typically face toward the center of wafers. Even so, films deposited on the wafers still exhibit an undesirable within-wafer uniformity. The uniformity, quality
25 and repeatability of deposited films depend on not only gas flow rates, concentration, pressure and temperature, but also gas flow pattern and distribution of gases. The present invention provides an injection system that is angularly adjustable to promote the momentum transfer of "ballistic mixing" of different gases to provide improved flow uniformity and thus improved quality and uniformity of the deposited films. In 30 . general, the injection system of the present invention comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate. The elongated injection tubes are rotatable about an axis in 360 degrees. FIG. 20 shows a thermal processing apparatus 230 including an injection system 250 according to one embodiment of the present invention. To simplify description of the invention, elements not closely relevant to the invention are not indicated in the drawing and described. In general, the apparatus 230 includes a vessel 234 that houses a process chamber 236 having a support 238 adapted for receiving a carrier 240 with a batch of wafers 242 held therein. The apparatus 230 includes heat source or furnace 244 for raising temperature of the wafers 242 to the desired temperature for thermal processing. A cross-flow liner 232 is provided to increase the concentration of processing gas or vapor near wafers 242 and reduce contamination of wafers 242 from flaking or peeling of deposits that can form on interior surfaces of the process chamber 236. The liner 232 is patterned to conform to the contour of the wafer carrier 240 and sized to reduce the gap between the wafer carrier 240 and the liner wall. The liner 232 is mounted to the base plate 246 and sealed. A cross-flow injection system 250 is disposed between the liner 232 and the wafer carrier 240. Gases are introduced through a plurality of injection ports or orifices 252 from one side of the wafers 242 and carrier 240 across the surface of the wafers in a laminar flow as described below. A plurality of slots 254 are formed in the liner 232 on the opposite side to exhaust gases or reaction by-product. The cross-flow injection system 250 includes one or more elongated injection tubes. FIG. 21 shows an elongated injection tube 256 according to one embodiment of the present invention. As shown, a plurality of injection ports or orifices 252 are provided in the elongated injection tube 256. In one embodiment, the spacing between the injection ports 252 is such that when the injection tube 256 is installed, each injection port 252 is positioned at a height between two adjacent wafers 242 supported in the wafer carrier 240 so that the gas exiting the injection port 252 is caused to flow in a path formed between the adjacent wafers. In another embodiment, the spacing between and number of the injection ports or orifices 252 in the injection tubes 256 cooperates with the spacing between and number of slots 254 in the liner
232 so that excessive gas or reaction by-products are exhausted from the corresponding slot in the liner. The injection system 250 of the present invention may comprise one or more elongated injection tubes 256 as illustrated in FIG. 21. The elongated injection tube 256 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing. Preferably, the injection tube is made from an opaque, translucent or transparent quartz glass. In one embodiment, the injection tube is made from quartz. FIG. 22 is a partial cross-sectional view of a thermal processing apparatus 230 showing connection of the injection system 250 with liner 232 and base plate 246. The elongated injection tube 256 is coupled to an injection inlet 262 in the base plate 246 and sealed to the base plate by O-rings 264. The elongated injection tubes 256 are engaged with the liner 232 through a clamp block 266, as shown in detail in FIG. 23. A lock pin 268 locks the clamp block 266 to the base plate 246. Reactants or other gases are introduced into the injection tube 256 through inlet 262. FIG. 24 is a partial plan view of a top plate 270 of a liner 232 having openings 272 for receiving one or more elongated injection tubes 256. As shown, the openings 272 in the top plate 270 are provided with notches 274 for stabilizing the elongated injection tubes 256 and orienting the injection ports 252 in the tubes 256 to a specific direction. While three notches 274A-C are shown in each of the openings 272 for illustrative puφose, it should be noted that any number of notches can be provided so that the elongated injection tubes 256 can be rotated and adjusted about an axis in 360 degrees and the injection ports 258 can be oriented in any direction as desired. In one embodiment, the elongated tube 256 includes an index pin (not shown) for locking the elongated tube 256 in one of the notches 274 in the opening 272. In another embodiment, the injection ports or orifices 252 in the tubes 256 are formed in line with the index pin. Thus, when the elongated tube 256 is installed, the index pin is locked in one of the notches 274 and the injection ports 252 in the tube 256 are oriented to a direction as indicated by the index pin locked in the notch. For example, when the index pin in the elongated tube 256 is locked in notch 274A, the injection ports 252 are oriented to face the inner surface of the liner 232. Gases exiting the injection ports 252 impinge the wall and mix prior to flowing across the surface of each substrate 242. In another embodiment, the index pin in the elongated tube 256 is locked in notch 274B. The injection ports 252 in each injection tube 256 are oriented to face each other. Gases exiting the injection ports 252 impinge each other and mix prior to flowing across the surface of each substrate 242. In a further embodiment, the index pin in the elongated tube 256 is locked in notch 274C so that the injection ports 252 are oriented to face the center of the substrate 242. The number of notches formed in the openings can be as many as desired so that the elongated tube 256 can be rotated in 360 degrees and stabilized in a desired position, and accordingly the injection ports 252 can be oriented to a desired direction. Of advantage, the injection system of the present invention enables full freedom of rotation of the injection ports to promote the momentum transfer of
"ballistic rfϊixing" of gases, which may vary in different processes. The orientation of the injection ports or orifices that influence gas mixing and flow direction can be adjusted on a run-to-run basis without the need of process chamber modification. In one embodiment, the injection system of the present invention is used in connection with a cross flow liner having a bulging section. U.S. Application No. (Attorney Docket No. 33586/US/l) filed currently with this application further describes a cross-flow liner, the disclosure of which is hereby incoφorated by reference in its entirety. FIGS. 25-26 show a cross-flow liner 276 that can be used in connection with the injection system 250 of the present invention. As shown, the cross-flow liner 276 includes a cylinder 278 having a close end 280 and open end 282. The cylinder 278 is provided with a longitudinal bulging section 284 to accommodate a cross-flow injection system 250. A plurality of latitudinal slots 286 are provided longitudinally in the cylinder 278 on the side opposite to the bulging section 284 to exhaust gases and reaction by-products. The cross-flow liner 276 is sized and patterned to conform to the contour of the wafer carrier 240 and the carrier support 238. In one embodiment, the liner 276 comprises a first section 288 sized to conform to the wafer carrier 240 and a second section 290 sized to conform to. the carrier support 238. The diameter of the first section 288 may differ from the diameter of the second section 290, i.e., the liner 276 may be "stepped" to conform to the wafer carrier 240 and carrier support 238 respectively. In one embodiment, the . first section 288 of the liner 276 has an inner diameter that constitutes about 104 to 110 % of the carrier outer diameter. In another embodiment, the second section 290 of the liner 276 has an inner diameter that constitutes about 115 to 120 % of outer diameter of the carrier support 238. The second section 290 may be provided with one or more heat shields to protect seals such as O-rings from being overheated by heating elements. Of advantage, the cross-flow liner 276 with a longitudinal bulging section 284 can be made conformal to the contour of the wafer carrier 240 to reduce the gap between the liner 276 and the wafer carrier 240. This helps reduce vortices and stagnation in the gap regions between the liner inner wall and the wafer carrier, and thus improve flow uniformity, which in turn improves the quality, uniformity, and repeatability of the deposited film. In one embodiment shown in FIG. 27, two elongated injection tubes 256 are installed in a bulging section 284 of a cross-flow liner 276. The elongated tubes 256 are rotated and adjusted so that the injection ports 252 are oriented to face the inner surface of the liner 276. As shown in FIG. 27, gases exiting the injection ports 252 impinge the liner wall and mix in the bulging section 284 prior to flowing across the surface of each substrate 242. In another embodiment shown in FIG. 28, two elongated tubes 256 are rotated and adjusted so that the injection ports 252 are oriented to face each other. As shown in FIG. 28, gases exiting the injection ports 252 impinge each other and mix in the bulging section 284 prior to flowing across the surface of each substrate 242. In a further embodiment shown in FIG. 29, two elongated tubes 256 are rotated and adjusted so that the injection ports 252 are oriented to face the center of the substrate 242. The following examples are provided to further illustrate the present invention and are not intended to limit the scope of the invention in any way.
EXAMPLE 1 This example illustrates deposition of silicon nitride using dichlorosilane (DCS) and NH3 gases. The deposition is performed in a thermal processing apparatus including an injection system of the present invention. The injection system comprises a first injection tubes for introducing DCS gas and a second injection tube for introducing NH3 gas. Each of the first and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate. In one variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner. DCS and NH3 gases exiting the injection ports away from wafers and impinge the liner inner surface prior to flowing across the surface of each substrate. In another variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the center of the substrate. DCS and NH3 gases exit the injection ports and flow across the surface of each substrate. . FIG. 30. is a Computational Fluid Dynamics (CFD) demonstration showing a uniform flow of DCS and NH3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented toward the center of the substrate, creating radially-inward flow of the gases. In this case, the mass difference between DCS and NH3 is relatively less (DCS = 101, NH3 = 17), thus the gas velocities are more similar.
EXAMPLE 2 This example illustrates deposition of silicon nitride using bis tertiarybutylamino silane (BTBAS) and NH3 gases. The deposition is performed in a thermal processing apparatus including an injection system of the present invention.
The injection system comprises a first injection tube for introducing BTBAS gas and a second injection tube for introducing NH3 gas. Each of the first and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate. In one variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner. BTBAS and NH gases exiting the injection ports away from wafers and impinge the liner wall prior to flowing across the surface of each substrate. In another variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face each other. BTBAS and NH3 gases exit the injection ports and impinge and mix prior to flowing across the surface of each substrate. FIG. 31 is a CFD demonstration showing a uniform flow of BTBAS and NH3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented to face each other, creating converging flow of the gases. In this case, the molecular weight of BTBAS is 174, the molecular weight of NH3 is 17. The recoil and mixing of BTBAS and NH3 ensure a uniform gas velocity as the gases flow across the wafer and results in exceptional within wafer uniformity of <1.5% (1 sigma) on a 300mm wafer. EXAMPLE 3 This example illustrates deposition of aluminum oxide (Al2O3) using trimethyl aluminum (TMA) and ozone (O3) gases. The deposition is performed in a thermal processing apparatus including an injection system of the present invention. The injection system comprises a first injection tube for introducing TMA gas and a second injection tube for introducing O gas. Each of the first and second injection tubes is provided with a plurality of ports or orifices for directing gas flow across the surface of each substrate. In one variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face the inner surface of the liner. TMA and O3 gases exiting the injection ports away from wafers and impinge the liner wall prior to flowing across the surface of each substrate. In one variation, the elongated tubes are rotated and adjusted so that the injection ports are oriented to face each other. TMA and O3 gases exit the injection ports and impinge and mix prior to flowing across the surface of each substrate. FIG..32 is a CFD demonstration showing a uniform flow of TMA and O3 gases across the surface of the substrate in an injector configuration where the injection ports are oriented to face the liner wall, creating radially outward flow of the gases. The recoil and mixing of TMA and O3 ensure a uniform gas velocity as the gases flow across the surface of each wafer. The foregoing description of specific embodiments and examples Of the invention have been presented for the puφose of illustration and description, and although the invention has been described and illustrated by certain of the preceding examples, it is not to be construed as being limited thereby. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and many modifications, improvements and variations within the scope of the invention are possible in light of the above teaching. It is intended that the scope of the invention encompass the generic area as herein disclosed, and by the claims appended hereto and their equivalents.

Claims

What is claimed is: 1. A thermal process apparatus suitable for processing a plurality of substrates supported in a carrier, the apparatus comprising a cross-flow injection system for directing flow of reactant and other gases across the surface of each substrate, said cross-flow injection system comprising one or more elongated tubes each of which is rotatable about an axis and provided with a plurality of injection ports.
2. The apparatus of Claim 1 wherein said plurality of injection ports are formed in a line and longitudinally distributed in the one or more elongated tubes.
3. The apparatus of Claim 1 wherein said one or more elongated tubes are rotatable about an axis in 360 degrees.
4. " The apparatus of Claim 1 further comprising a cross-flow liner enclosing the carrier, wherein said cross-flow injection system is disposed between the liner and the carrier and rotatable in 360 degrees.
5. The apparatus of Claim 4 wherein the one or more elongated tubes are rotated to orient the plurality of injection ports to face the liner such that gases exiting the injection ports impinge the liner prior to crossing the surface of each substrate.
6. The apparatus of Claim 4 wherein the one or more elongated tubes are rotated to orient the plurality of injection ports to face each other such that gases exiting the injection ports impinge each other prior to crossing the substrate.
7. The apparatus of Claim 4 wherein the one or more elongated tubes are rotated to orient the plurality of injection ports to face the center of each substrate.
8. The apparatus of Claim 4 wherein the cross-flow liner comprises a cylinder having a close end and an open end, said cylinder is provided with a longitudinal bulging section, and said cross-flow injection system is accommodated in the bulging section.
9. The apparatus of Claim 8 wherein said cross-flow injection system comprises one or more elongated tubes accommodated in the bulging section.
10. The apparatus of Claim 9 wherein the open end is provided with two openings for receiving the elongated tubes.
11. The apparatus of Claim 10 wherein the openings are provided with notches for orienting the injection ports to a predetermined direction.
12. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber providing a process region for the substrates; a cross-flow liner enclosing the substrates held in the carrier; and a cross-flow injection system disposed between the carrier and the cross-flow liner to direct flow of one or more gases across the surface of each substrate, said cross-flow injection system comprising one or more elongated tubes each of which is rotatable about an axis and provided with a plurality of injection ports.
13. The apparatus of Claim 12 wherein said process chamber is sized to process 1 to 100 substrate.
14. The apparatus of Claim 12 wherein said cross-flow injection system comprises a first and a second elongated injection tube each of which is provided with a plurality of injection ports formed in a line and longitudinally distributed in the tube, wherein each elongated injection tube is rotatable about an axis in 360 degrees.
15. The apparatus of Claim 14 wherein said cross-flow liner comprises a cylinder having a close end and an open end, said cylinder is provided with a longitudinal bulging section to accommodate said first and second elongated injection tubes.
16. The apparatus of Claim 15 wherein said close end is provided with openings to receive the first and second elongated injection tubes.
17. The apparatus of Claim 16 wherein said openings are provided with notches and each injection tube is provided with an index pin to be locked in a notch so that the injection ports in the first and second elongated injection tubes are oriented to a predetermined direction.
18. The apparatus of Claim 12 wherein the cross-flow liner is patterned and sized so that the liner is conformal to the carrier and has an inner diameter that is about 104 to 110 percent of a diameter of the substrates.
19. The apparatus of Claim 18 wherein the cross-flow liner is provided with a plurality of slots cooperating with the plurality of the injection ports for exhausting gases.
PCT/US2004/031063 2003-09-25 2004-09-22 Thermal processing system with cross flow injection system with rotatable injectors WO2005031803A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006528134A JP2007515054A (en) 2003-09-25 2004-09-22 Heat treatment system with cross-flow injection system including a rotatable injector
EP04784778A EP1676294A4 (en) 2003-09-25 2004-09-22 Thermal processing system with cross flow injection system with rotatable injectors

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US50635403P 2003-09-25 2003-09-25
US60/506,354 2003-09-25
US10/946,849 US20050121145A1 (en) 2003-09-25 2004-09-21 Thermal processing system with cross flow injection system with rotatable injectors
US10/946,849 2004-09-21

Publications (3)

Publication Number Publication Date
WO2005031803A2 WO2005031803A2 (en) 2005-04-07
WO2005031803A9 true WO2005031803A9 (en) 2005-05-26
WO2005031803A3 WO2005031803A3 (en) 2006-12-21

Family

ID=34396311

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/031063 WO2005031803A2 (en) 2003-09-25 2004-09-22 Thermal processing system with cross flow injection system with rotatable injectors

Country Status (5)

Country Link
US (1) US20050121145A1 (en)
EP (1) EP1676294A4 (en)
JP (1) JP2007515054A (en)
TW (1) TWI250586B (en)
WO (1) WO2005031803A2 (en)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP2006229040A (en) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd Method and apparatus for heat treatment
JP4426518B2 (en) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 Processing equipment
JP2007189077A (en) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd Heat treatment apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5211464B2 (en) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 Oxidizer for workpiece
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (en) * 2009-12-30 2012-09-19 应用材料公司 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
JP2013517616A (en) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
CN102844848A (en) 2010-03-05 2012-12-26 应用材料公司 Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101364701B1 (en) * 2011-11-17 2014-02-20 주식회사 유진테크 Apparatus for processing substrate with process gas having phase difference
JP5792390B2 (en) * 2012-07-30 2015-10-14 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (en) 2015-08-04 2018-01-11 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7315607B2 (en) * 2021-03-16 2023-07-26 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022002761A1 (en) * 2022-07-29 2024-02-01 centrotherm international AG Device for the thermal treatment of substrates, especially semiconductor wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (en) * 1981-07-24 1983-02-02 Fujitsu Ltd Chemical vapor growth method
JPS5972721A (en) * 1982-10-20 1984-04-24 Toshiba Corp Vapor phase growth device
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
NL8503163A (en) * 1984-11-16 1986-06-16 Sony Corp DEVICE AND METHOD FOR VAPOR PRESSURE.
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
JP2683671B2 (en) * 1988-06-27 1997-12-03 東京エレクトロン株式会社 Film forming method and film forming apparatus on semiconductor substrate
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber

Also Published As

Publication number Publication date
TWI250586B (en) 2006-03-01
WO2005031803A3 (en) 2006-12-21
JP2007515054A (en) 2007-06-07
EP1676294A2 (en) 2006-07-05
EP1676294A4 (en) 2007-10-31
WO2005031803A2 (en) 2005-04-07
TW200531174A (en) 2005-09-16
US20050121145A1 (en) 2005-06-09

Similar Documents

Publication Publication Date Title
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20070137794A1 (en) Thermal processing system with across-flow liner
WO2004008491A2 (en) Thermal processing system and configurable vertical chamber
US6352594B2 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US7833352B2 (en) Apparatus for fabrication of thin films
US5246500A (en) Vapor phase epitaxial growth apparatus
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
WO2019046453A1 (en) Integrated epitaxy system high temperature contaminant removal
US8317449B2 (en) Multiple substrate transfer robot
EP0646285A1 (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
EP1443543B1 (en) Thermal treating apparatus
EP0823491A2 (en) Gas injection system for CVD reactors
WO2021087002A1 (en) Process kit for improving edge film thickness uniformity on a substrate
JPH07273101A (en) Single sheet heat treatment system
JP4703844B2 (en) Thermal CVD equipment for forming graphite nanofiber thin films
KR20050020757A (en) Thermal processing system and configurable vertical chamber
EP0162111A1 (en) Method and apparatus for chemical vapor deposition
JP2001326219A (en) Substrate processing device and method of manufacturing semiconductor device
JPH09153485A (en) Vapor growth device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

COP Corrected version of pamphlet

Free format text: PAGES 1/28-28/28, DRAWINGS, REPLACED BY NEW PAGES 1/28-28/28; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006528134

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004784778

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004784778

Country of ref document: EP