TWI250586B - Thermal processing system with cross flow injection system with rotatable injectors - Google Patents

Thermal processing system with cross flow injection system with rotatable injectors Download PDF

Info

Publication number
TWI250586B
TWI250586B TW093128909A TW93128909A TWI250586B TW I250586 B TWI250586 B TW I250586B TW 093128909 A TW093128909 A TW 093128909A TW 93128909 A TW93128909 A TW 93128909A TW I250586 B TWI250586 B TW I250586B
Authority
TW
Taiwan
Prior art keywords
wafer
flow
substrate
cross
liner
Prior art date
Application number
TW093128909A
Other languages
Chinese (zh)
Other versions
TW200531174A (en
Inventor
Bois Dale R Du
Cole Porter
Robert B Herring
Original Assignee
Aviza Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Tech Inc filed Critical Aviza Tech Inc
Publication of TW200531174A publication Critical patent/TW200531174A/en
Application granted granted Critical
Publication of TWI250586B publication Critical patent/TWI250586B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An apparatus is provided for thermally processing substrates held in a carrier. The apparatus includes an injection system which provides for selectable injection of gases to the process chamber. The injection system comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate. The elongated injection tubes are rotatable about an axis in 360 degrees.

Description

(1) 1250586 九、發明說明(1) 1250586 IX. Description of invention

本申請案主張2003年 9月25日申請的美國第 6 0 / 5 0 6 5 3 5 4號暫時專利申請案的利益和優先權,該案的揭 露在此全部倂入做參考。和該案相關的P C T/U S 0 3 /2 1 5 7 5 號P C T申請案,名稱爲“熱處理系統和可建構的直立室” ,其主張美國第6 0/3 9 6,5 3 6號和6 0/42 8,5 2 6號暫時專利 申請案的優先權,而該等申請案的揭露,在此全部倂入做 參考。 【發明所屬之技術領域】 本發明係關於熱處理例如基材之物件的系統和方法, 特別是關於熱處理、退火、或沉積多層材料在半導體晶圓 或基材上、或從半導體晶圓或基材上移除多層材料的一種 裝置或方法。 【先前技術】 將半導體基材或晶圓製成積體電路或半導體裝置的過 程中’通常會用到熱處理裝置。半導體晶圓的熱處理包括 (例如)熱處理、退火、滲入或摻入摻雜材料、沉積或成 長各層材料、從基材蝕刻或移除材料。這些程序常常需要 在處理則或處理期間,將晶圓加熱到如丨3 〇 〇 〇c高的溫度 和如30〇°c低的溫度·,且常常需要輸送一種以上的流體( 例如處理氣體或反應劑)至晶圓。再者,儘管處理氣體的 溫度變化和其導入處理室的流率變化,這些程序典型地還 1250586 (2) 是需要在整個程序期間,將晶圓維持在均一的溫度。 一種習知的熱處理裝置,典型地由位於爐內或由爐圍 繞的大容積處理室組成。待熱處理的基材密封在該處理室 內,然後由火爐將基材加熱到執行處理所需的溫度。就許 多種程序(例如化學蒸鍍;CVD )而言,密封處理室首先 要抽成真空,且一旦處理室達到所欲的溫度,就導入反應 氣體或處理氣體,以在基材上形成或沉積反應劑物質。 以前的熱處理裝置,尤其是直立式熱處理裝置,典型 地需要在處理晶圓產品的處理區上面和下面,保護鄰近處 理室側壁而設的加熱器。因爲此種配置需要較大的室容積 ,而該大室容積須被抽吸降壓、塡充處理氣體或蒸氣、和 再次充氣或淸洗,導致增加處理時間,所以此種配置並不 理想。再者,由於不易檢視加熱器內的晶圓,所以此種構 造佔用大量的空間和電力。 習知熱處理裝置的其他問題,包括:在處理前,處理 室溫度和待處理晶圓溫度上升,需要很長的時間;和在處 理後,降低溫度需要很長的時間。再者,確保處理室在能 開始處理以前,已穩定地在所欲的均一溫度,常需要額外 的時間。雖然處理晶圓所需的實際時間可能在半小時以下 ,但前處理和後處理所需的時間,典型地需1至3小時以 上。因此,快速升高或降低處理室溫度至均一溫度所需的 時間,大幅地限制習知熱處理裝置的生產能力。 在有效加熱或冷卻晶圓之前,必須先加熱或冷卻習知 熱處理裝置,所以相對長之上升時間或下降時間的根本理 -6 - (3) 1250586 由是,習知熱處理裝置內處理室和爐的熱質量。 將習知熱處理裝置之生產能力的限制予以最小化或偏 移的普遍方法,已經增加單一循環或單一運轉內能處理的 晶圓數目。同時處理大數目的晶圓,能藉由減少每一晶圓 的平均有效處理時間,而有助於將裝置的有效生產能例最 大畫。但萬一在處理程序中出了差錯,此種方法亦增加了 風險。亦即,一次的失敗,就要報廢或損失一大數目的晶 圓,例如在單一處理循環期間,若有一設備故障或處理失 敗。這在較大晶圓尺寸和較複雜積體電路的狀況時更須特 別小心,因爲依處理階段的不同,單一個晶圓可能價値 1000元美金到10000元美金。 此種解決之道的另一個問題是,增加處理室的尺寸以 容置較大數目的晶圓,亦增加了處理室的熱質量效應,藉 此降低加熱或冷卻晶圓的速率。再者,處理一大組晶圓的 較大處理室,導致或或造成先進後出的問題,使得最先載 入處理室內的晶圓變成最後移出,致該等晶圓暴露於高溫 環境的時間太長,而降低整組晶圓的均勻性。 上述方法的另一個問題是,用於供熱處理之前或之後 的許多處理程序用的系統或裝置,並沒有能力同時處理大 數目的晶圓。因此’處理大組或大數目的晶圓,雖然增加 熱處理裝置的生產能力,卻很難改善半導體製造設備的整 體生產能力,反而可能降低實際的生產能力,因爲需要在 熱處理裝置前堆積晶圓,或使熱處理裝置下游的其他系統 和裝置堆積晶圓,變成瓶頸。 - 7- (4) 1250586 上述習知熱處理裝置的另一實施例是快速熱處理系統 ,其已經發展供快速熱處理晶圓。習知的快速熱處理系統 ,通常高強度的燈,選擇性地加熱透明的(通常是石英) 小處理室內之單一晶圓或小數目的晶圓。快速熱處理系統 消除處理室的熱質量效應,或將其最小化。且因爲該等燈 具有非常低的熱質量,所以能藉由快速打開或關掉的燈, 而迅速地加熱或冷卻晶圓。 不幸地,習知的快速熱處理系統具有很嚴重的缺點, 其中包括燈的設置位置。習知技術係將燈設於區或襯板內 ,每一區或襯板係由鄰近處理室側壁的多個燈組成。此種 配置很有問題,因爲爲了有效率,且因不良的檢視因素, 所以耗費了極大的空間和電力。這些問題在最新一代的半 導體處理設備,都需付出額外的代價。 習知快速熱處理系統的另一問題是,沒有能力使一組 中的多片晶圓或甚至是一片晶圓得到均勻的溫度分布。溫 度分布部均勻的原因有數個,包括(I )由一個以上的燈 對一個以上之晶圓的不良檢視因素,和(Π )燈之輸出電 力的變化。 再者,一個燈的故障或變化,對整個晶圓的溫度分布 會有不良的影響。因爲這個理由,所以在大部分之以燈爲 主的系統中,要旋轉晶圓,以確保在處理過程中’因燈的 變化所可能造成的溫度不均員,不會轉移到晶圓。但是旋 轉晶圓所需的移動零件,特別是旋轉饋入熱處理室內’增 加了系統的成本和複雜度,且降低了系統整體的信賴度。 冬 1250586 (5) 快速熱處理系統的另一惱人的問題在於,須將晶圓的 外緣和中心維持均勻的溫度分布。大部分習知的快速熱處 理系統,並沒有適當的裝置處理這類型之溫度不均勻的問 題。結果,晶圓全表面發生短暫的溫度波動,造成晶圓在 高溫時的滑動移位,除非使用較晶圓直徑大的黑體基座, 才得以防止滑移。 習知之以燈爲主的快速熱處理系統還有其他缺點,例 如沒有適合的裝置能提供在短暫的期間內之均勻的電力分 布和溫度分布。該短暫的期間例如開燈或關燈時,除非使 用相位角控制,其產生電雜訊的。因爲每一個燈會因其使 用時間的長短而有不同的性能,所以性能的可重複性也經 常是以燈爲主之系統的缺點。更換燈須增加成本且費時, 尤其是當一個燈系統具有1 8 0個以上的燈時。因爲燈的峰 値消耗電力約2 5 0千瓦,所以所需的電力也很昂貴。 因此,需要一種裝置或方法,其能在熱處理程序期間 ,快速且均勻加熱一組基材,使該組基材中的每一基材的 全部表面達到所欲的溫度。 【發明內容】 本發明提供對這些和其他問題的解決方案,且提供相 較於習知技藝的其他優點。 本發明提供用以等溫加熱工件(例如半導體基材或晶 圓)的一種裝置和方法,以執行例如退火、滲入或摻入摻 雜材4 '沉積或成長各層材料、從晶圓蝕刻或移除材料。 >9- (6) 1250586 一種熱處理裝置,用以在高溫處理固持於載具的基材 °該裝置包括具有一處理室和一加熱源。該處理室具有頂 壁 '側壁、和底壁。該加熱源具有趨近處理室之頂壁 '側 壁 '和底壁的多個加熱元件,以提供處理區內的等溫環境 。載具置於處理區內,以熱處理基材。依據一方面,選擇 處理室的尺寸,以包覆出不會比容置載具所需之容積大很 多的一個容積,且處理區大致延伸經過處理室。較佳地, 處理室選定的尺寸所包覆的容積,不會比容置載具所需之 容積大過125% 。更加地,裝置更包括一泵系統和一淸洗 系統’該泵系統將處理室抽吸至處理壓力,該淸洗系統在 處理完成後回塡處理室。且選擇處理室的尺寸,以提供能 快速抽吸減壓和快速回塡的處理室。 依據本發明的另一方面,處理室的底壁包括具有至少 一加熱元件於其內的一可移動底座,且該可移動底座能下 降或上升,以使具有晶圓的載具能插入處理室內或從處理 室內移除。在一實施例中,裝置更包括一可移動的熱遮罩 ,其可插入底座內的加熱元件和載具所固持的基材內。熱 遮罩能將從底座內之加熱元件來的熱能反射回底座,以遮 蔽載具上的基材,將其和從底座內之加熱元件來的熱能隔 絕。在本實施例的一種版本中,裝置更包括可移入載具上 方位置的一隔離板,以在底座處於下降位置時,隔離處理 室。在裝置包括一泵系統以將處理室抽吸降壓的情況,隔 離板可密封處理室,藉此使得泵系統在底座處於下降位置 時,能將處理室抽吸降壓。 -10- (7) 1250586 在又一實施例中,裝置更包括一磁性耦合復位系統, 其能在熱處理基材期間將載具復位。較佳地,用於復位載 具的機械能,係經過底座磁性地親合至載具,而沒有使用 可移動的耦合進入處理室,且不會移動底座內的加熱元件 。更佳地,磁性耦合復位系統是一磁性耦合旋轉系統,其 在熱處理基材期間,旋轉處理區內的載具。 依據本發明的另一方面,該裝置更包含一內襯。該內 襯將載具與處理室的頂壁和側壁相分離,且一分散的或交 叉流的噴射系統,引導流體流經固持於載具內之每一基材 的表面。交叉流噴射系統通常包括具有多個噴射口的一交 叉流噴射器,該等噴射口相對於固持在載具內之基材而設 置,且流體經由該等噴射口導入該等基材的一側。相對於 固持在載具內之基材而設置於內襯中的多個排放口,使流 體流經基材的表面。交叉流噴射系統導入的流體可包括處 理氣體或蒸氣、和用於淸洗或回塡處理室的惰性淸洗氣體 或蒸氣、或用於冷卻其內基材的惰性淸洗氣體或蒸氣。 在另一方面,本發明的裝置包括一噴射系統,該噴射 系統提供可選擇的氣體噴射噴射於處理室。本發明的噴射 系統通常包含一個以上的長噴射管,該等長噴射管具有分 布於管中的多個噴射口或孔,以引導反應劑和其他氣體流 經每一基材的表面。長噴射管可相對於一軸旋轉三百六十 度。 在另一實施例中,本發明的裝置包含一處理室、一交 叉流內襯、和一交叉流噴射系統。該處理室爲固持於載具 -11 - (8) 1250586 內的複數基材提供一處理區域;該交叉流內襯包覆該載具 ;該交叉流噴射系統設置於載具和交叉流內襯之間,以引 導一種以上的氣體,流經每一基材的表面。交叉流噴射系 統包含多個可相對於一軸做旋轉的噴射口。 【實施方式】 本發明指向處理相對小數目或迷你組(批次)之工件 的一種裝置或方法。該工件例如半導體積材或晶圓,且固 持在例如卡匣或晶舟的載具內,其可減少處理循環的時間 ,並可改善處理的均勻性。 此處所用的“迷你組”意指建立在一典型組系統內的多 個晶圓,但在數百個晶圓以下,且最好是在1至約5 3個 半導體晶圓的範圍內。其中,從1到5 0是產品晶圓,其 餘的是用做監控目的或做爲擋板的非產品晶圓。 藉由熱處理,將工件或晶圓加熱至所欲的溫度,該溫 度典型地在約3 5 0 °C至1 3 0 0 °C的範圍內。半導體晶圓的熱 處理,可包括(例如)熱處理、退火、滲入或摻入摻雜材 料' 沉積或成長多層材料(例如化學蒸鍍或C V D )、從 晶圓蝕刻或移除材料。 現在參考圖1說明一實施例的熱處理裝置。爲了淸楚 起見’省略了該熱處理裝置中廣爲人知和熟悉該項技藝者 普遍知道的許多細節。該細節在(例如)美國專利U. S. 4,770,5 90中描述得更詳細,且該細節在此倂入做爲本案 的參考。 -12- (9) 1250586 實 形 06 內 12- 欲 光 以 業 其 理 的 通 氣 圓 冷 內 於 處 平 期 寸 圖1是用於熱處理一組半導體晶圓之一熱處理裝置 施例的剖面視圖。如圖所示,熱處理裝置1 0 0通常包括 容器101和一熱源或爐110。該容器101包覆一容積而 成一處理室1〇2,該處理室設有能容置一載具或晶舟] 的一支撐座1 〇 4,該載具1 0 6將一組晶圓1 〇 8固持於其 。該熱源或爐1 1 0具有數個加熱源件1 1 2 - 1、1 1 2 - 2、1 3 (下文統稱維加熱元件 U2),以升高晶圓溫度至所 的溫度進行熱處理。熱處理裝置1 00更包含一個以上的 學或電氣溫度感測元件,例如阻抗溫度裝置或熱電耦, 監控熱處理室1 02內的溫度,和/或控制加熱元件的作 。此實施例所示的溫度感測元件是一輪廓熱電耦J 1 1 4, 具有多個獨立的溫度感測節點或點(未示),以檢測處 室1 0 2內多個位置的溫度。熱處理室亦可包括一個以上 噴射器1 1 6 (只顯示其中一個)和一個以上的淸洗口或 口 1 1 8 (只顯示其中一個)。該噴射器1 1 6引導流體( 體或蒸氣)進入處理室1 〇 2內,供處理和/或冷卻晶 108;該淸洗口或通口 118引導氣體淸洗處理室和/或 卻晶圓1 〇 8。內襯1 2 0增加處理晶圓區域或處理區1 2 8 晶圓1 08附近之處理氣體或蒸氣的濃度,並且降低形成 處理室1 02內表面之沉積物剝落對晶圓所造成的污染。 理氣體或蒸氣經由內襯1 2 0的排放口或槽]2 1排出。 通常由例如〇型環1 2 2的密封將容器1 0 ]封閉於 台或基板]24,以形成處理室]02,該處理室在熱處理 間完全包覆晶圓]〇 8。選擇處理室1 0 2和基板]2 4的尺 -13 - 1250586 (10) ,以能將處理室快速抽成真空、快速加熱、和快速回塡。 容器1 0 1和基板1 24的尺寸,最好設計成使處理室1 02具 有包覆的容積,不會大於容置固持有晶圓108於其內之載 具1 0 6所需的尺寸。容器1 0 ]和基板1 2 4的尺寸,較佳設 計成使處理室〗〇2的尺寸,爲容置固持有晶圓1 〇 8於其內 之載具1 0 6所需尺寸的約1 2 5 %到1 5 0 % 。容器1 0 1和基 板1 2 4的尺寸,更佳是設計成使處理室1 〇 2的尺寸,爲不 大於容置載具1 〇 6和晶圓1 0 8所需尺寸的約1 2 5 % ,以使 室的容積最小化,此有助減少抽真空和回塡所需的時間。 使用例如 0型環、VCR®、或 CF®塡料,將噴射器 1 1 6的開口 1 1 6、熱電耦1 1 4、和通口 1 1 8封閉。於處理 期間釋放或導入的氣體或蒸氣,經由形成在處理室1 0 2之 壁(未示)內或在基板1 2 4的空腔1 2 7 (如圖1所示)內 的前級管道或排放口 1 2 6而抽出。在熱處理期間,處理室 1 02可維持在大氣壓,或藉由一泵系統抽成低至5毫托( 微米汞柱)的真空。該泵系統(未示)包括一個以上的初 泵、鼓風機、高真空泵、和初閥、節流閥、前級管道閥。 在圖2所示的另一實施例中,基板1 2 4更包括一大致 環形的流道1 2 9,該流道1 2 9適於容置和支撐噴射器1 1 6 ’該噴射器1 1 6包括一環1 3 1和從該環1 3 1延伸的數個直 立噴射管或噴嘴Π 6 A。噴嘴1 1 6 A的尺寸和形狀可提供下 述的向上流動、向下流動、或交叉流動的流動模型。環 1 3 ]和噴嘴n 6 a的位置使得氣體能噴入晶舟]06和容器 】0 1之間的處理室1 〇 2內。此外,噴嘴1 1 6 A沿著環1 3 1 -14 - (11) 1250586 相互隔開,以將處理氣體或蒸氣均勻地導入處理室1 02內 ,且如果希望的話,在淸洗或回塡期間,噴嘴1 1 6 A可用 於將淸洗氣體導入處理室內。基板1 24設計成具有向外延 伸之上凸緣1 3 3、一側壁1 3 5、和向內延伸之一底部1 3 7 的短圓柱形。上凸緣1 3 3適於容置和支撐容器1 0 1,並含 有一 0型環1 22,以將容器密封於上凸緣。底部1 3 7在支 撐噴射器1 1 6之環1 3 1的外側,適於容置和支撐內襯1 20 〇 此外,圖2所示的基板1 24設有多種開口,包括回塡 /淸洗氣體入口 139、143、提供冷卻流體在基板124內 循環的冷卻口 1 4 5、1 4 7、和用以監控處理室內壓力的壓 力監控口 1 4 9。處理氣體入口 1 5 1、1 6 1將氣體從供給源 (未示)導入噴射器116。回塡/淸洗氣體入口 139、143 設於基板1 2 4的側壁1 3 5,主要用於將淸洗氣體供給源來 的氣體導入通口 1 1 8。在氣體供給源和開口 1 3 9、1 4 3、 1 5 1、1 6 1間的管路上,設置質量流控制器(未示)或任 何其他適合的流動控制器,以控制氣體流進處理室1 02內 〇 容器101和內襯120可由耐高溫和高真空操作之熱應 力和機械應力,且能抗處理期間所使用或釋放之氣體和蒸 氣之腐蝕的任何金屬、陶瓷、水晶、或玻璃材料製成。容 器101和內襯120較佳是由具有足以耐機械應力之厚度, 且能防止處理所產生之副產品的沉積(藉此減少處理環境 潛在的污染)的不透明、半透明、或透明的石英玻璃製成 -15- (12) 1250586 。容器1 ο 1和內襯1 20更佳是由能減少或消除從處理晶圓 1 〇 8的區域或處理區1 2 8向外熱傳導的石英製成。 藉由裝載閘門或裝載連接埠(未示),將整批次的晶 圓1 0 8導入熱處理裝置內,然後經由能形成氣體緊密封的 處理室或基板124內的艙口或開口,進入處理室102內。 在圖1所示的構造中,處理室1 02是直立的反應爐,且利 用可移動的底座130在處理期間上升,並以在基板124上 得密封(例如Ο型環)將艙口封閉;當底座1 3 0下降時 ’操作者或例如晶舟處理單元的自動處理系統(未示), 能將載具或晶舟106定位在附接於底座的支撐座104上。 加熱元件1 1 2包括定位在處理室1 0 2之頂部1 3 4 (元 件1 1 2 - 3 )、側部I 3 6 (元件1 1 2 - 2 )、和底部1 3 8 (元件 Η 2 - 1 )附近的元件。加熱元件1 1 2最好圍繞晶圓,以獲 得良好的檢視因素,並藉此提供處理室內的等溫控制容積 或處理區 128,以在其內處理晶圓108。趨近處理室1〇2 底部1 3 8的加熱元件,可設置在底座1 3 0內。如果想要的 話,亦可在基板1 2 4內或基板上增設加熱元件,以補充加 熱元件1 1 2 - 1的熱量。 在圖1所示的實施例中,處理室底部附近的加熱元件 112-1,較佳是容置在可移動的底座130內。底座130是 由熱絕緣和電絕緣材料、或將電阻抗加熱元件1 1 2 - 1嵌入 其內或附接於上的絕緣塊1 4 〇所製成。底座1 3 0更包括一 個以上的反饋感測器或熱電耦1 4 1,以控制加熱元件]丨2 _ ]。在所示的構造當中,熱電耦1 4 1係嵌在絕緣塊]4 0的 -16- (13) 1250586 中心。 側部加熱元件1 1 2 - 2和頂部加熱元件1 1 2 - 3 ’可設置 在容器1 0 1附近的絕緣塊1 1 0內或其上。側部加熱元件 1 1 2 - 2和頂部加熱元件1 1 2 - 3較佳是容置在絕緣塊Π 0內 〇 加熱元件1 1 2和絕緣塊1 1 0、1 4 0可設計成任何形狀 構造,且可以任何方式和由任何材料製成。 爲了獲得上至1 1 5 0 °C之所欲的處理溫度,處理室1 〇 2 底部1 3 8附近的加熱元件1 1 2 - 1,較佳具有從約0 . 1千瓦 到約1 0千瓦的最大電力輸出,和至少1 1 5 (TC之最大處理 溫度。更佳的是這些底部加熱元件1 1 2 - 1具有至少約3 . 8 千瓦的最大電力輸出,和至少95 0 °C之最大處理溫度。在 一實施例中,側部加熱元件1 1 2 · 2功能性地分割成數個區 ’包括最接近底座130的下區和上區,每一區都能獨立於 頂部加熱元件1 1 2 - 3和底部加熱元件1 1 2 -1之外,而以相 互不同的電力位準和負荷循環進行作業。 加熱元件1 1 2由任何適合的方式(包括使用習知技藝 的控制技術)控制。 因爲將加熱元件和絕緣塊容置在倒置的石英j:甘禍1 4 2 內,所以從絕緣塊1 4 0和底部加熱元件]1 2 來的污染即 使沒有完全消除,也減少很多了。該坩堝1 4 2做爲加熱元 件絕塊與處理室1 〇 2間的障礙。坩堝1 4 2和裝載連接j;阜、 晶舟處理單元環境之間亦密封起來,以進一步減少或消除 處理環境的污染。坩堝1 42的內部通常是在標準大氣壓, - 17- (14) 1250586 所以整個坩堝1 42各處的強度,應足以承受處理室丨02和 底座1 3 0之間的像1大氣壓大小的壓力差。 當裝載或卸載晶圓1 〇8時,亦即當底座再較低位置時 (圖3 ),底部加熱元件1 1 2 - 1仍然通電,以維持較所欲 處理溫度爲低的空載溫度。例如對底部加熱元件所欲的處 理溫度爲9 5 0 °C的處理程序,空載溫度爲5 0 - 1 5 0。(:。對某 些處理程序,(例如具有較高所欲處理溫度和/或較高所 欲升溫率的處理程序),空載溫度可設定爲較高,以降低 對底部加熱元件1 1 2 -1的熱循環效應,藉以延長元件的壽 命0 爲了進一歩減少預處理的時間,(亦即準備熱處理裝 置1 〇 〇供處理所需的時間),在推或裝載期間(亦即當舉 升承載有一舟106晶圓108於其上之底座130時),底部 加熱元件1 1 2 - 1可升溫至所欲處理溫度或較低溫。但是, 爲了使晶圓1 0 8和熱處理裝置1 0 0之組件上的熱應力最小 化,最好是使底部加熱元件1 1 2- 1和位於處理室1 02頂部 134的加熱元件112-3與位於側部136的加熱元件112-2 ’同時到達所欲的處理溫度。因此對某些處理程序而言, 例如那些需要較高處理溫度的處理程序,當將一組(批次 )晶圓中的最後一個晶圓裝載完畢後,底座開始舉升,而 在底座開始舉升之前,底部加熱元件11 2 - 1的便開始升溫 〇 類似地,應瞭解在處理後,且在拉或卸載循環期間( 亦即當降低底座]2 8時),可減少或完全移除供給於底部 -18- (15) (15) 4 1250586 加熱元件1 1 2- 1的電力’以開始將底座溫度降至空載溫度 ,準備冷卻晶圓1〇8,並以經舟處理單元卸載。 爲了幫助冷卻底座I 3 0,使其在拉或卸載循環之前降 至拉的溫度,設置有通過絕緣塊1 4 0之供給空氣或惰性淸 洗氣體(例如氮)的管路。氮最好由經過絕緣塊1 40中心 的通道1 4 4噴出,且可在絕緣塊1 4 0的頂部和坩堝1 4 2的 內部之間及其周圍流動。然後經由高效率爲率空氣過濾器 (未示)或排放設備(未示),將熱的氮排放到外界環境 。此中中心噴射構造設計,能較迅速地冷卻晶圓1 0 8的中 心,且很適合使底部晶圓之中心/邊緣溫度差最小化,該 溫度差會因晶格構造的移位而導致損壞。 如上所述,爲了增加或延長底部加熱元件1 1 2- 1的壽 命,可將空載溫度設定較高,且較接近所欲的處理溫度, 以減少熱循環效應。此外,也希望在富含氧的環境中,週 期性地烘烤加熱元件11 2-1,以促進形成保護性的氧化物 表面層。例如在阻抗加熱元件是由含鋁合金(例如 K anthan® )形成的場合,在富含氧的環境中烘烤加熱元件 1 1 2 - 1,以促進氧化鋁表面的成長。因此,絕緣塊]4 〇可 進一步包括氧管路(未示),以在烘烤加熱元件112-1期 間’促進形成保護性的氧化物表面層。在另一實施例中, 可經由在處理期間供給冷卻氮所用的淸洗管路,藉由三方 向閥,導入烘烤用的氧。 圖3是熱處理裝置1 0之部分剖面視圖。圖3顯示熱 處理裝置]0 0在裝載或卸載晶圓1 0 8時,亦即當底座1 3 0 -19- (16) 1250586 步 下 46 反 的 持 理 置 之 % ώ: 動 罩 下 至 理 座 於 得 罩 Μ j \ \\ 加 座 特 在較低位置時。在此作業模式中,熱處理裝置1 ο 〇進一 包括一熱遮罩1 4 6,其能在底座1 3 0和晶舟1 0 6中之較 面晶圓1 〇 8之間,轉動或滑入定位。爲了改善熱遮罩】 的性能,通常熱遮罩在面對熱處理元件11 2 -1的一側具 射性,而在面對晶圓的一側具吸收性。熱遮罩1 4 6的目 包括增加對晶舟1 〇 6內之晶圓1 0 8的冷卻率,及幫助維 底座1 3 0和底部加熱元件1 1 2 - 1的空載溫度,以減少處 室升溫至所欲處理溫度之時間。具有熱遮罩之熱處理裝 的實施例,將參考圖3至圖6更詳細地說明。 圖3亦顯示具有底座加熱元件112-1和熱遮罩146 熱處理裝置1〇〇。在所示的實施例中,藉由臂148將熱 罩1 4 6附接於旋轉軸1 5 0。藉由電氣、空壓、或液壓致 器轉動該旋轉軸1 5 0,以在拉或卸載循環期間,將熱遮 1 4 6旋轉進入介於已加熱的底座1 3 0和晶舟1 〇 6中之最 面的晶圓I 〇 8之間的一第一位置;且於推或裝載循環之 少一最後部分或末階段期間(恰在晶舟1 0 6底部進入處 室102內之前),將熱遮罩146移除或旋轉至不介於底 和晶圓之間的一第二位置。旋轉軸1 5 0最好組裝或附接 用於舉升或下降底座1 3 0的機構上(未示),藉此,使 在底座頂部已淸理處理室I 〇2時,便能儘快能將熱遮 1 4 6旋轉定位。在裝載期間保持熱遮罩1 4 6定位,比起 熱遮罩1 4 6定位的情況,更能快速地將加熱元件1〗2 - 1 熱至所欲的溫度。類似地,在卸載期間,藉由反射從底 加熱元件Π 2 - 1來的輻射,遮罩1 4 6有助於冷卻晶圓, -20- (17) !25〇586 別是冷卻那些較接近底座的晶圓。 另一實施例中的旋轉軸1 5 0可安裝或附接於熱處理裝 置1 00的另一部份,且適於與底座1 3 0同時軸向運動,或 只在底座完全下降時,才將熱遮罩丨4 6旋轉定位。 圖4圖示了圖3之底座加熱元件;Π2-1和熱遮罩146 ’其中顯示將從底部加熱元件來的熱能或熱輻射,反射回 底座1 3 0 ’且吸收了從批次或疊積晶圓中較低晶圓} 〇 8來 的熱能或熱輻射。使用多種不同的材料(例如金屬、陶瓷 、玻璃、或聚合物塗層;個別或其結合),可獲得所欲的 特徵、高反射率、和高吸收率。下表以例子的方式,列出 各種適合的材料和對應的參數。 表1 材料 吸收率 -^寸率 不鎌鋼 , ---— 0.2 不透明石英 ----- 0.5 ——__〇.8 拋光的銘 0.03 —-__〇.5 ——7 碳化矽 0.9 -----1 —--- 依據一實施例,熱遮罩可由單一、, 該材料例如碳化矽、不透明石英、部2材料衬料製成, 幻央戥不鏽鋼,货 光,另一側面則畫傷、刮傷、或使其粗糙。卜―、〜側面拋 表面粗糙,能大幅地改變其熱傳_彳° •熱遮罩的一 。 虑,特別裹其反射率 -21 ^ 1250586 (18) 在另一實施例中,熱遮罩M6可由兩層不同的材料製 成。圖5是熱遮罩〗4 6的圖例說明,其具有例如碳化矽或 不透明石英等高吸收率材料的上層1 5 2,和例如拋光不鏽 鋼或拋光纟g等高反射率材料或金屬的下層1 5 4。雖然顯示 具有幾乎相等的厚度,但應瞭解上層152或下層154中的 任一層’都可具有較大的厚度,此視對熱遮罩的特定需求 而定’例如爲了使兩層間因熱膨脹係數的差異而造成的熱 應力最小化。例如在某些實施例中,下層i 5 4可爲沉積、 形成、或鍍在構成上層152之石英板上的非常薄的拋光金 屬層或薄膜。該等材料可以例如連結或固接的習知手段, ~體成型、互鎖、或結合在一起。 在又一實施例中,熱遮罩1 4 6更包括一內部冷卻流道 1 5 6,以進一步將晶圓1 〇 8和底部加熱元件1 1 2 - 1隔絕。 在此實施例的一版本中,如圖6所示,冷卻流道1 5 6形成 在兩不同材料層1 5 2和1 5 4之間。例如可藉由以 製或任 何其他適合的技術,在高吸收率的不透明石英層1 5 2內形 成冷卻流道1 5 6,並以例如鈦或鋁塗層的金屬層丨5 4或塗 層覆蓋。冷卻流道156亦可形成在金屬層154內,或同時 形成在金屬層和石英層152兩者內。 圖7是熱遮罩組合體1 5 3之一實施例的透視圖,其包 括熱遮罩1 4 6、臂1 4 8、旋轉軸1 5 0、和致動器1 5 5。 如圖8所示,熱處理裝置1 0 0更包括一隔離板1 5 8, 其能在晶舟1 〇 6上方旋轉、滑動、或其他方式運動而定位 ’以在底座]3 0處於充分下降的位置時,將熱處理室1 02 - 22 - 1250586 (19) 和外界或裝載埠環境相隔離。例如當底座1 3 0在較低位置 時’隔離板1 5 8滑入載具1 〇 6上方的位置,且上升以隔離 處理室1 0 2。在另一實施例中,隔離板1 5 8亦可在底座 1 3 〇處於較低位置時,轉動或擺動進入載具1 0 6上方的位 置’然後上升以隔離處理室1 0 2。隔離板1 5 8可選擇性地 繞著螺紋或相對於柱而轉動,以同時舉升隔離板,而在其 擺動進入載具106上方的位置時,隔離處理室102。 就在真空下正常作業的一個處理室102 (例如 CVD 系統)而言,隔離板1 5 8可形成對基板1 2 4的真空密封, 以使處理室1 0 2能因抽吸而降壓至處理壓力或真空。例如 可能希望在各批次晶圓之間抽吸處理室1 0 2降壓,以減少 或消除污染處理環境的潛在因素。較佳是以大直徑的密封 (例如0型環)形成真空密封,因此隔離板1 5 8可包括 多個水流道1 6 0,以冷卻密封。在圖8所示的實施例中, 使用與密封坩堝142相同的Ο型環132,在底座130處於 上升位置時,來密封隔離板1 5 8。 就其內有在大氣壓力下正常作業之處理室102的一熱 處理裝置1 3 0而言,隔離板1 5 8只是設計用於減少處理室 底部熱損失的一絕緣塞。爲了達成此目的的一實施例,使 用了不透明石英板,其可以進一步包括(也可以不包括) 在其下方或在其內部的多個冷卻流道。 當底座130在充分下降的位置時,隔離板158移入處 理室1 02下方的位置,然後藉由一個以上的電氣、液壓、 空壓制動器(未示)、舉升,以隔離處理室。致動器較佳 -23 - (20) 1250586 是使用約1 5至6 0磅/平方吋(p S I G )的空氣 常可在熱處理裝置上獲得,以操作空壓閥。例 例的一種版本,隔離板1 5 8可包括一板體,且 旋臂將多個輪子附接於該板體的兩側。在作業 隔離板1 5 8從兩平行導軌滾入處理室1 〇 2下方 在導軌上、然後使旋臂樞轉、移動隔離板1 5 8 方向,以密封處理室1 0 2。 如圖9所示,熱處理裝置1 〇 〇更包括一磁 轉系統1 62,其在處理程序期間,轉動支撐座 1 〇 6,連同晶舟1 〇 6上所支撐的晶圓1 〇 8。在 間旋轉晶圓1 0 8,藉由平均了加熱元件1 1 2和 的非均勻性,而改善晶圓內的均勻性,以創造 上溫度和物件反應輪廓。晶圓旋轉系統1 6 2通 〇. 1至約1 〇轉/分的速率轉動晶圓1 0 8。 晶圓旋轉系統1 62包括具有一旋轉馬達1 動馬達或空壓馬達)的驅動組合體或旋轉機構 覆在防化學侵蝕之容器(例如退火的特氟龍或 的磁鐵1 6 8。恰位於底座 1 3 0之絕緣塊1 4 0 1 7 0、和連結絕緣塊的驅動軸 1 7 2,傳輸旋轉 底座頂部內之絕緣塊上方的另一磁鐵1 7 4。鋼 動軸1 7 2、和第二磁鐵1 7 4亦包覆在防化學侵 合物內。位於底座1 3 0側的磁鐵1 7 4,經由坩: 鋼環或嵌入/附接於處理室102的支撐座104 ] 經由底座]3 0而磁性耦合於旋轉機構]64 ,該空氣通 如在本實施 藉由短臂或 中,板體或 的位置、停 進入向上的 牵禹合晶圓旋 1 0 4和晶舟 處理程序期 處理氣體流 均勻的晶圓 常能夠以約 66 ( m tin m 1 6 4、和包 不鏽鋼)內 下面的鋼環 能量於位在 環 1 7 0、驅 蝕之容器化 渦142而與 以性賴I合。 ,可消除將 -24- (21) 1250586 旋轉機構設在處理環境內或設置機械耦合的需求,藉此消 除洩漏或污染的潛在來源。再者,將旋轉機構]6 4設在外 面,且距處理環境一段距離,可將旋轉機構1 64所暴露的 溫度最小化,藉此,增加晶圓旋轉系統1 62的信賴度和作 業壽命 ° 除了上述之外,晶圓旋轉系統1 6 2可進一步包括一個 以上的感測器(未示),以確保適當的晶舟1 06位置、及 處理室102內之鋼環或磁鐵176和底座130內之磁鐵174 的適當磁性耦合。決定晶舟1 0 6相對位置的感測器或確認 晶舟位置的感測器,特別有用。在一實施例中,確認晶舟 位置的感測器,包括在晶舟1 0 6上之感測器凸部(未示) 、和位於基板1 24下面的光學或雷射感測器。在作業中, 於晶圓1 〇 8已處理過,且底座1 3 0下降至基板1 2 4下方約 3吋時,晶圓旋轉系統1 62開始旋轉,直到可看見晶舟感 測器凸部。然後,操作晶圓旋轉系統1 62對齊晶舟,以便 卸載晶圓1 0 8。作業至此,晶舟會下降至裝載/卸載高度 。在初始檢查後,只能從標記感測器確認晶舟位置。 如圖1 0所示,熱處理裝置1 00較佳使用改良的噴設 器2 1 6,該等噴射器2 1 6是分布性的或交叉(X )流的噴 射器216-1。經由位於晶圓108和晶舟106側之噴射器的 開口或孔]8 0,將處理氣體或蒸氣導入,並以層流方式流 經晶圓的表面,然後從相對側之處理室管路1 2 0的排放口 或槽1 8 2排出。相對於較早向上流或向下流的結構設計, 交叉流噴射器Π 6-]藉由提供改善之處理氣體或蒸氣的分 -25- 1250586 (22) 布,改善了一批次晶圓1 〇 8內各晶圓的均勻性。 此外’父叉iiL·噴射益2 1 6 - 1可具有其他目的,包括噴 射冷卻氣體(例如氦、氮、氫),以強迫各晶圓丨〇 8間的 對流冷卻。相較於較早向上流或向下流的結構設計,使用 交叉流噴射器2 1 6 - 1,導致各晶圓1 〇 8間更均勻,不論是 位於該疊積或該批次之底部或頂部或中間的晶圓。噴射器 2 1 6的孔1 8 0較佳是設計成其尺寸、形狀、和位置能提供 噴灑模式,以提供各晶圓1 0 8間的強迫對流冷卻,使得在 整個晶圓上不會造成的大溫度梯度。 圖1 1是圖1 〇之熱處理裝置1 〇 〇的局部剖面側視圖, 其顯示部分噴射器孔1 8 0和處理室內襯1 2 0的關係、及排 放槽1 8 2和晶圓1 0 8的關係。 圖1 2是沿圖1 0熱處理裝置1 〇 0之A - A線的局部平 面視圖,其顯示一實施例的氣體層流,從第一噴射器1 8 4 的孔1 8 0 - 1和第二噴射器1 8 6的孔1 8 0 - 2,經過例示晶圓 1 〇 8其中之一,到排放槽1 8 2 - 1和1 8 2 - 1。應該注意圖1 〇 所示之排放槽 1 8 2的位置,已經從圖1 2所示之排放槽 1 8 2 - 1和1 8 2 - 1的位置移動,已使得排放槽和噴射器1 1 6 -1可顯示在熱處理裝置之單一剖面視圖中。也應注意到噴 射器1 8 4、1 8 6和排放槽1 8 2 - 1、1 8 2 - 1相對於晶圓1 0 8和 處理室內襯1 2 0的尺寸,已被誇大,以更淸楚例是從噴射 器到排放槽的氣體流。 圖1 2亦顯示處理氣體或蒸氣起初先被導向遠離晶圓 1 〇 S,而朝向內襯]2 0,以促進處理氣體或蒸氣在到達晶 -26- 1250586 (23) 圓之前先混合。在第一、二噴射器184、186導入不同反 應劑以(例如)形成多種成分薄膜或層的處理時,孔 180-1、180-2的構造特別有用。 圖13是沿圖1〇熱處理裝置100之a-a線的另一局 部平面視圖,其顯示從第一、二噴射器1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 〇 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖14是沿圖10熱處理裝置1〇〇之a-a線的另一局 部平面視圖,其顯示從第一、二噴射器 1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 〇 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖15是沿圖10熱處理裝置100之A-A線的另一局 部平面視圖,其顯示從第一、二噴射器1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 〇 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖1 6是另一實施例中具有兩個以上向上流動之噴射 器1 1 6 - 1、1 1 6 - 2之熱處理裝置1 0 0的剖視圖。在此實施 例中,具有位於處理室1 02下方之個別出口孔的處理噴射 器1 16-1、1 16-2,其處理氣體和蒸氣向上流出,並經過晶 圓1 〇 8,然後用過的廢氣體,從內襯1 2 0頂端的排放槽 1 8 2排出。向上流動的噴射器系統亦顯示於圖1。 圖1 7是另一實施例中具有一向下流動噴射器系統之 熱處理裝置1 0 0的剖視圖。在此實施例中,具有位於處理 室1 0 2內之個別孔的處理噴射器1 1 6 - 1、Η 6 - 2,其處理氣 -27- 1250586 (24) 體和蒸氣向下流出,並經過晶圓1 0 8,然後用過的廢氣體 ’從內襯120下端的排放槽ι82排出。 噴射器116、216、和/或內襯丨2〇,可以具有不同噴 射點和排放來自處理區〗2 8之處理氣體的點之其他噴射器 和內襯’快速地且容易地更換或置換。熟悉該項技術者將 可瞭解’圖1 0所示的交叉流噴射器2丨6實施例,因能夠 將處理室1 〇 2內的流動模式,從圖1 〇所示的交叉流動模 型,快速且輕易地變化成如圖1或圖i 6所示的向上流動 模型,或變化成如圖1 7所示的向下流動模型,所以增加 了處理彈性自由度。此能藉由使用容易組裝的噴射器組合 體2 1 6和內襯1 2 0,以將流動幾何從交叉流動轉換成向上 流動或向下流動而完成。 噴射器1 1 6、2 1 6、和內襯1 2 〇可爲分離的組件,或 者噴射器可和內襯一體成型爲單一元件。在希望經常改變 處理室1 〇 2流動模型的場合,後一種實施例特別有用。 操作熱處理裝置1 〇 〇的例示方法或過程,將參考圖 1 8做說明。圖1 8是熱處理一批次晶圓1 0 8之一種方法的 各步驟流程圖,其中該批次晶圓中的每一晶圓,被快速且 均勻地加熱至所欲的溫度。在此方法中,底座1 3 〇下降, 且當底座】3 0下降時’熱遮罩1 42移入定位’以將底部加 熱元件1 I 2 - 1來的熱反射回底座,以維持底座的溫度,並 隔離處理完畢的晶圓1 〇 8 (步驟1 9 0 )。選擇性地,移動 隔離板1 5 8至定位’以密封或隔離處理室1 (步驟]92 )。施電力於加熱元件n 2_2、1 ] 2_3,以將處理室]02開 -28 - l25〇586 (25) $台預熱至或維持在中間溫度或空載溫度(步騾1 9 4 )。將 衣載有新晶_ 1 〇 8的載具或晶舟1 〇 6,定位在底座1 3 0上 (步驟196)。在移除隔離板158、熱遮罩142、和使底 部加熱元件n 2 _ 1升溫以將晶圓預熱至中間溫度的同時, 舉升底座1 3 〇,以將晶舟定位於處理區丨2 8內(步驟1 97 )°較佳地,恰在晶舟丨〇6定位在處理區丨28內之前,移 除熱遮罩1 4 2 ;將例如處理氣體或蒸氣的流體,經由多個 噴射口 1 8 0,導入晶圓丨〇 8的一側(步驟】9 8 )。流體從 噴射口 1 8 0流經晶圓1 〇 8的表面,至晶圓相對於噴射口的 另一側且設於內襯i 2 0內的排放口 1 8 2 (步驟1 9 9 )。選 擇性地,在熱處理整批次晶圓1 08期間,晶舟! 〇6可在處 理區1 2 8內旋轉,以進一步提昇熱處理的均勻性;在熱處 理晶圓期間,藉由磁性耦合的機械能,經過底座丨3 〇傳至 載具或晶舟1 0 6,而而使晶舟復位(步驟2 0 0 )。 另一實施例之熱處理裝置1 〇 〇的方法或過程,將參考 圖1 9做說明。圖丨9是熱處理一載具內之一批次晶圓} 〇8 之一'種方法的各步驟流程圖。在該方法中,裝置1 〇 〇設有 一處理室1 0 2,處理室的尺寸和容積不會比容置具有晶圓 1 〇 8於其內之載具1 〇 6所需(無保護加熱器)的尺寸大太 多。降下底座130’且將固持有晶圓1〇8於其內的晶舟μ 定位在底座(步驟2 0 2 )上。在將晶圓1 〇 8預熱至中間溫 度的同時,舉升底座1 3 0以將晶舟插入處理室1 〇2內(步 驟2 0 4 )。施電力於加熱元件]丨2 - ],1 1 2 - 2、] 1 2 - 3,每 一加熱元件設於趨近處理室1 0 2的頂壁]3 4、側壁〗3 6、 -29- (26) 1250586 和底壁1 3 8其中至少之一,以開始加熱處理室(步驟2 0 6 )。選擇性地,獨立調整施於至少其中之一的加熱元件之 電力,以提供處理室1 0 2內之處理區1 2 8在所欲溫度之大 致等溫環境(步驟2 0 8 )。當晶圓]0 8已熱處理完畢,且 當處理區1 2 8維持所欲溫度,降下底座1 3 0,且將熱遮罩 1 42移入定位,以隔絕處理完畢的晶圓1 〇8,及將從底部 加熱元件1 1 2 - 1來的熱反射回底座1 3 0,以維持底座的溫 度(步驟2 1 0 )。也是選擇性地,移動隔離板進入定位, 以密封或隔離處理室1 0 2,並施電力於加熱元件1 1 2 - 2、 1 1 2 - 3,以維持處理室的溫度(步驟2 1 2 )。然後,將晶 舟1 〇 6從底座1 3 0上移除(步驟2 1 4 )。將裝載有新一批 次待處理之晶圓的另一晶舟,定位在底座上(步驟2 1 6 ) 。復位或移除隔離板1 5 8 (步驟2 1 8 )。撤退或復位熱遮 罩,以在舉升底座130供晶舟插入處理室102內進行熱處 理新一批次晶圓的同時,將晶舟1 0 6內的晶圓1 0 8預熱至 中間溫度(步驟2 2 0 )。 如上述提供和操作的熱處理裝置1 〇〇相較於習知系統 ,減少處理或循環時間約7 5 % 。例如習知一大批次熱處 理裝置,可能在約23 2分鐘(包括前處理和後處理時間) 內處理100個晶圓成品。本發明熱處理裝置100在約58 分鐘內對一小批次的2 5個成品晶圓做相同的處理。 參考圖2 0 - 3 2,將描述本發明之一實施例的噴射系統 〇 具有噴射口或孔分布於長管的噴射器,已使用於水平 •30- 1250586 (27) 和直立爐,以控制基材整個表面的氣體濃度。典型地,依 特定的應用,使用兩個以上的噴射器,以分布類似或不同 的氣體,例如,爲了沉積摻雜P的多晶矽,已使用具有分 散噴射口的噴射器,以導入ρ Η 3氣體晶過位於爐內的整個 晶圓裝載’進而提供均勻的氣體濃度。使用具有分散噴射 口的噴射器,以確保整個晶圓裝載之沉積薄膜的性質相同 。傳統上,噴射器被固定著,亦即噴射器之噴射口或孔的 方向被固定,且該方向典型地朝向晶圓的中心。即便如此 ,沉積在晶圓上的薄膜仍然出現不希望存在的晶圓內均勻 性。沉積薄膜的均勻性、品質、和重複性,不僅取決於氣 體流動率、濃度、壓力、和溫度,亦取決於氣體流動模型 和氣體分布。本發明提供的噴射系統可調整角度,以提昇 不同氣體之衝擊混合動量傳輸,進而改善流動均勻性、和 沉積薄膜的品質與均勻性。本發明的噴射系統通常包含一 個以上的長噴射管,該等長噴設管具有分布在管中多個噴 射口或孔,以引導反應劑和其他氣體經過每一基材的表面 。長噴射管可相對於一軸做三百六十度旋轉。The present application claims the benefit and priority of U.S. Patent Application Serial No. 60/5,056,053, filed on Sep. 25, 2003, the disclosure of which is hereby incorporated by reference. PCT Application No. PCT/US 0 3 /2 1 5 7 5, entitled "Heat Treatment System and Constructable Upright Chamber", which claims US 60/3 9 6, 5 3 6 and The priority of the provisional patent application No. 6/42, 5, 2, the disclosure of which is hereby incorporated by reference. FIELD OF THE INVENTION The present invention relates to systems and methods for heat treating articles such as substrates, particularly with respect to heat treating, annealing, or depositing multiple layers of material on a semiconductor wafer or substrate, or from a semiconductor wafer or substrate. A device or method for removing multiple layers of material. [Prior Art] In the process of forming a semiconductor substrate or wafer into an integrated circuit or a semiconductor device, a heat treatment device is usually used. The heat treatment of the semiconductor wafer includes, for example, heat treatment, annealing, infiltration or incorporation of dopant materials, deposition or growth of layers of material, etching or removal of material from the substrate. These procedures often require heating the wafer to a temperature as high as 丨3 〇〇〇c and a temperature as low as 30 °C during processing or processing, and often require delivery of more than one fluid (eg, process gas or Reagent) to the wafer. Moreover, despite the temperature variations of the process gas and the flow rate changes introduced into the process chamber, these programs typically also require 1250586 (2) to maintain the wafer at a uniform temperature throughout the process. A conventional heat treatment apparatus typically consists of a large volume processing chamber located within or surrounded by the furnace. The substrate to be heat treated is sealed in the processing chamber, and then the substrate is heated by a furnace to the temperature required to perform the treatment. For many procedures (eg, chemical vapor deposition; CVD), the sealed process chamber is first evacuated and, once the process chamber reaches the desired temperature, a reactive or process gas is introduced to form or deposit on the substrate. Reactant substance. Previous heat treatment devices, particularly vertical heat treatment devices, typically required heaters located above and below the processing zone where the wafer product was processed to protect adjacent sidewalls of the processing chamber. This configuration is not ideal because such a configuration requires a large chamber volume that must be pumped down, pumped with process gas or vapor, and re-inflated or rinsed, resulting in increased processing time. Moreover, such a structure occupies a large amount of space and power because it is difficult to view the wafer in the heater. Other problems with conventional heat treatment devices include: the temperature of the process chamber and the temperature of the wafer to be processed rise before processing, which takes a long time; and after processing, it takes a long time to lower the temperature. Furthermore, it is ensured that the processing chamber is steadily at the desired uniform temperature before it can begin processing, often requiring additional time. Although the actual time required to process the wafer may be less than half an hour, the time required for pre-treatment and post-treatment typically takes from 1 to 3 hours. Therefore, the time required to rapidly raise or lower the chamber temperature to a uniform temperature greatly limits the throughput of conventional heat treatment devices. Before the wafer is effectively heated or cooled, the conventional heat treatment device must be heated or cooled, so the basic reason for the relatively long rise or fall time is -6 - (3) 1250586 By the conventional treatment chamber and furnace The thermal quality. A common method of minimizing or offsetting the limitations of the throughput of conventional heat treatment devices has increased the number of wafers that can be processed in a single cycle or in a single run. Processing a large number of wafers at the same time can help maximize the efficient production of the device by reducing the average effective processing time per wafer. However, in the event of an error in the processing procedure, this approach also increases the risk. That is, a single failure is to scrap or lose a large number of crystals, such as if a device fails or fails to process during a single processing cycle. This requires special care in the case of larger wafer sizes and more complex integrated circuits, as a single wafer may cost between $1,000 and $10,000 depending on the processing stage. Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers also increases the thermal mass effect of the process chamber, thereby reducing the rate at which the wafer is heated or cooled. Furthermore, processing a large processing chamber of a large set of wafers causes or causes problems with advanced exits, causing the wafers that are first loaded into the processing chamber to be eventually removed, causing the wafers to be exposed to high temperatures. Too long to reduce the uniformity of the entire set of wafers. Another problem with the above method is that the system or device used for many processing procedures before or after heat treatment does not have the ability to process a large number of wafers simultaneously. Therefore, 'processing a large group or a large number of wafers, although increasing the production capacity of the heat treatment device, it is difficult to improve the overall production capacity of the semiconductor manufacturing equipment, but may reduce the actual production capacity, because the wafer needs to be stacked before the heat treatment device, Or other systems and devices downstream of the heat treatment device can be stacked into a bottleneck. - 7- (4) 1250586 Another embodiment of the above conventional heat treatment apparatus is a rapid thermal processing system which has been developed for rapid thermal processing of wafers. Conventional rapid thermal processing systems, typically high intensity lamps, selectively heat a single wafer or a small number of wafers in a transparent (usually quartz) small processing chamber. Rapid heat treatment system Eliminates or minimizes the thermal mass effect of the process chamber. And because the lamps have a very low thermal mass, the wafer can be rapidly heated or cooled by quickly turning the lamp on or off. Unfortunately, conventional rapid thermal processing systems have serious drawbacks including the location of the lamp. Conventional techniques provide for the placement of lamps in zones or linings, each zone or lining being comprised of a plurality of lamps adjacent the side walls of the processing chamber. This configuration is problematic because it consumes a lot of space and power for efficiency and due to poor viewing factors. These problems are at the extra cost of the latest generation of semiconductor processing equipment. Another problem with conventional rapid thermal processing systems is the inability to achieve a uniform temperature distribution across multiple wafers or even a single wafer in a group. There are several reasons for the uniformity of the temperature distribution, including (I) the poor viewing factor of more than one wafer by more than one lamp, and the change in the output power of the (Π) lamp. Furthermore, the failure or change of a lamp can have an adverse effect on the temperature distribution of the entire wafer. For this reason, in most lamp-based systems, the wafer is rotated to ensure that temperature irregularities that may be caused by lamp changes during processing are not transferred to the wafer. However, the moving parts required to rotate the wafer, particularly the rotary feed into the heat treatment chamber, add to the cost and complexity of the system and reduce the overall system reliability. Winter 1250586 (5) Another annoying problem with rapid thermal processing systems is the need to maintain a uniform temperature distribution across the outer edge and center of the wafer. Most of the conventional rapid thermal processing systems do not have the proper equipment to handle this type of temperature non-uniformity problem. As a result, short-term temperature fluctuations occur on the entire surface of the wafer, causing the wafer to slide at high temperatures, and slippage is prevented unless a black body base having a larger wafer diameter is used. Conventional light-based rapid thermal processing systems have other disadvantages, such as the lack of a suitable device to provide uniform power distribution and temperature distribution over a short period of time. This short period of time, for example, when turning the light on or off, causes electrical noise unless phase angle control is used. Because each lamp has different performance due to its length of use, performance repeatability is often a drawback of lamp-based systems. Replacing the lamp requires increased cost and time consuming, especially when a lamp system has more than 180 lamps. Because the peak of the lamp consumes about 250 kilowatts of power, the power required is also expensive. Accordingly, there is a need for an apparatus or method that can rapidly and uniformly heat a set of substrates during a heat treatment process such that the entire surface of each of the set of substrates reaches a desired temperature. SUMMARY OF THE INVENTION The present invention provides solutions to these and other problems, and provides other advantages over the prior art. The present invention provides an apparatus and method for isothermally heating a workpiece, such as a semiconductor substrate or wafer, to perform, for example, annealing, infiltrating or doping a dopant 4' to deposit or grow layers of material, etching or moving from a wafer. In addition to materials. >9- (6) 1250586 A heat treatment apparatus for treating a substrate held on a carrier at a high temperature. The apparatus includes a processing chamber and a heating source. The processing chamber has a top wall 'side wall, and a bottom wall. The heat source has a plurality of heating elements that are adjacent to the top wall 'side wall' and the bottom wall of the processing chamber to provide an isothermal environment within the processing zone. The carrier is placed in the processing zone to heat treat the substrate. According to one aspect, the size of the processing chamber is selected to cover a volume that is not much larger than the volume required to accommodate the carrier, and the processing region extends generally through the processing chamber. Preferably, the volume of the selected dimensions of the processing chamber is no more than 125% larger than the volume required to accommodate the carrier. More specifically, the apparatus further includes a pumping system and a rinsing system that draws the processing chamber to a process pressure that is returned to the processing chamber after processing is complete. The size of the processing chamber is selected to provide a processing chamber that can quickly pump for reduced pressure and rapid retracement. According to another aspect of the invention, the bottom wall of the processing chamber includes a movable base having at least one heating element therein, and the movable base can be lowered or raised to enable the carrier having the wafer to be inserted into the processing chamber Or remove from the processing room. In one embodiment, the apparatus further includes a movable thermal shield that is insertable into the heating element within the base and the substrate held by the carrier. The thermal shield reflects thermal energy from the heating elements within the base back to the base to obscure the substrate on the carrier from the thermal energy from the heating elements within the base. In one version of this embodiment, the apparatus further includes a spacer that is movable into the upper position of the carrier to isolate the processing chamber when the base is in the lowered position. Where the apparatus includes a pump system to draw down the process chamber, the isolation plate seals the process chamber, thereby enabling the pump system to draw down the process chamber when the base is in the lowered position. -10- (7) 1250586 In yet another embodiment, the apparatus further includes a magnetic coupling reset system that is capable of resetting the carrier during heat treatment of the substrate. Preferably, the mechanical energy used to reset the carrier is magnetically coupled to the carrier through the base without the use of a movable coupling into the processing chamber without moving the heating elements within the base. More preferably, the magnetically coupled reset system is a magnetically coupled rotating system that rotates the carrier within the processing zone during heat treatment of the substrate. According to another aspect of the invention, the device further comprises an inner liner. The liner separates the carrier from the top and side walls of the processing chamber, and a dispersed or cross-flowing spray system directs fluid flow through the surface of each substrate held within the carrier. A cross-flow injection system generally includes a cross-flow ejector having a plurality of injection ports disposed relative to a substrate held within the carrier, and fluid is introduced into one side of the substrates via the ejection openings . The fluid flows through the surface of the substrate relative to the plurality of discharge ports disposed in the liner relative to the substrate held within the carrier. The fluid introduced by the cross-flow injection system may include a process gas or vapor, and an inert purge gas or vapor for scrubbing or returning to the process chamber, or an inert purge gas or vapor for cooling the substrate therein. In another aspect, the apparatus of the present invention includes an injection system that provides selective gas injection into the processing chamber. The spray system of the present invention typically includes more than one long spray tube having a plurality of spray ports or orifices disposed in the tube to direct reactants and other gases through the surface of each substrate. The long spray tube can be rotated by three hundred and sixty degrees with respect to one axis. In another embodiment, the apparatus of the present invention includes a processing chamber, a cross flow liner, and a cross flow injection system. The processing chamber provides a processing area for a plurality of substrates held in the carrier -11 - (8) 1250586; the cross-flow liner covers the carrier; the cross-flow ejection system is disposed on the carrier and the cross-flow lining Between, to direct more than one gas, flowing through the surface of each substrate. The cross-flow injection system includes a plurality of injection ports that are rotatable relative to an axis. [Embodiment] The present invention is directed to an apparatus or method for processing a relatively small number or mini group (batch) of workpieces. The workpiece, such as a semiconductor material or wafer, is held in a carrier such as a cassette or a boat, which reduces the time of the processing cycle and improves the uniformity of the process. As used herein, "mini-group" means a plurality of wafers built into a typical group system, but below a few hundred wafers, and preferably in the range of from 1 to about 53 semiconductor wafers. Among them, from 1 to 50 is the product wafer, and the rest is the non-product wafer used for monitoring purposes or as a baffle. The workpiece or wafer is heated to a desired temperature by heat treatment, which is typically in the range of from about 305 °C to about 130 °C. Thermal processing of the semiconductor wafer can include, for example, heat treating, annealing, infiltrating or doping the dopant material to deposit or grow a multilayer material (e.g., chemical vapor deposition or C V D ), etching or removing material from the wafer. A heat treatment apparatus of an embodiment will now be described with reference to FIG. For the sake of clarity, many details that are well known and familiar to those skilled in the art are omitted. This detail is in, for example, U.S. Patent U.  S.  4, 770, 5 90 are described in more detail, and the details are hereby incorporated by reference. -12- (9) 1250586 Real 06 Inside 12- ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ As shown, the heat treatment apparatus 100 typically includes a vessel 101 and a heat source or furnace 110. The container 101 is covered with a volume to form a processing chamber 1〇2, and the processing chamber is provided with a supporting seat 1 〇4 capable of accommodating a carrier or a boat, and the carrier 106 sets a group of wafers 1 〇8 is held in it. The heat source or furnace 110 has a plurality of heat source members 1 1 2 - 1 , 1 1 2 - 2, and 1 3 (hereinafter collectively referred to as dimension heating elements U2) for heat treatment by raising the temperature of the wafer to a temperature. The heat treatment apparatus 100 further includes more than one learned or electrical temperature sensing element, such as an impedance temperature device or thermocouple, monitoring the temperature within the thermal processing chamber 102, and/or controlling the heating element. The temperature sensing element shown in this embodiment is a profile thermocouple J 1 1 4 having a plurality of independent temperature sensing nodes or points (not shown) to sense the temperature of a plurality of locations within the chamber 10. The heat treatment chamber may also include more than one injector 1 16 (only one of which is shown) and more than one wash port or port 1 18 (only one of which is shown). The injector 1 16 directs fluid (body or vapor) into the processing chamber 1 〇 2 for processing and/or cooling the crystal 108; the rinsing port or port 118 directs the gas rinsing chamber and/or wafer 1 〇 8. The liner 120 increases the concentration of process gas or vapor in the vicinity of the wafer area or process area 1 28 wafer 108 and reduces the contamination of the wafer by deposit flaking forming the inner surface of the process chamber 102. The gas or vapor is discharged through a vent or tank of the lining 120. The container 10 is normally enclosed by a seal such as a 〇-ring 1 2 2 on a table or substrate 24 to form a process chamber 02, which completely covers the wafer 〇 8 between heat treatments. The treatment chamber 102 and the substrate -13 - 1250586 (10) of the substrate] 2 are selected to enable rapid evacuation of the process chamber into a vacuum, rapid heating, and rapid recovery. The dimensions of the container 101 and the substrate 1 24 are preferably designed such that the processing chamber 102 has a coated volume that is no larger than the size required to accommodate the carrier 106 in which the wafer 108 is held. . The size of the container 1 0 ] and the substrate 1 24 4 is preferably designed such that the size of the processing chamber 〇 2 is about the size required to accommodate the carrier 10 6 in which the wafer 1 〇 8 is held. 1 2 5 % to 1 50 0 %. The size of the container 1 0 1 and the substrate 1 2 4 is preferably designed such that the size of the processing chamber 1 〇 2 is not more than about 1 2 5 of the required size for accommodating the carrier 1 〇 6 and the wafer 10 8 . % to minimize the volume of the chamber, which helps reduce the time required to evacuate and return. The opening 1 16 of the injector 1 16 , the thermocouple 1 1 4, and the port 1 1 8 are closed using, for example, a 0-ring, a VCR®, or a CF® crucible. The gas or vapor released or introduced during the treatment passes through a foreline formed in the wall of the processing chamber 102 (not shown) or in the cavity 1 27 of the substrate 1 24 (shown in Figure 1). Or drain the outlet 1 2 6 and take it out. During the heat treatment, the process chamber 102 can be maintained at atmospheric pressure or pumped to a vacuum of as low as 5 mTorr (micron mercury) by a pump system. The pump system (not shown) includes more than one primary pump, blower, high vacuum pump, and primary valve, throttle, and pre-stage valve. In another embodiment shown in FIG. 2, the substrate 1 2 4 further includes a substantially annular flow path 1229, which is adapted to receive and support the injector 1 1 6 'the injector 1 1 6 includes a ring 1 3 1 and a plurality of upright spray tubes or nozzles A 6 A extending from the ring 13 1 . The size and shape of the nozzle 1 16 A can provide a flow pattern of upward flow, downward flow, or cross flow as described below. The position of the ring 1 3 ] and the nozzle n 6 a allows gas to be injected into the processing chamber 1 〇 2 between the boat] 06 and the container 0 1 . In addition, the nozzles 1 1 6 A are spaced apart from each other along the rings 1 3 1 -14 - (11) 1250586 to uniformly introduce process gases or vapors into the process chamber 102, and if desired, wash or return During this time, the nozzle 1 16 6 can be used to introduce the scrubbing gas into the processing chamber. The substrate 1 24 is designed to have a short cylindrical shape extending outwardly from the upper flange 13 3 , a side wall 1 3 5 , and an inwardly extending one bottom portion 1 3 7 . The upper flange 1 3 3 is adapted to receive and support the container 101 and includes a 0-ring 1 22 to seal the container to the upper flange. The bottom portion 1 3 7 is outside the ring 1 3 1 supporting the injector 1 16 , and is adapted to receive and support the inner liner 1 20 . Further, the substrate 1 24 shown in FIG. 2 is provided with various openings including back/塡The purge gas inlets 139, 143 provide a cooling port 145, 147 that circulates the cooling fluid within the substrate 124, and a pressure monitoring port 149 for monitoring the pressure in the process chamber. The process gas inlet 1 5 1 , 1 6 1 introduces gas from a supply source (not shown) to the injector 116. The return/washing gas inlets 139, 143 are provided on the side wall 135 of the substrate 1 2 4, and are mainly used to introduce the gas from the supply source of the purge gas into the port 1 18 . A mass flow controller (not shown) or any other suitable flow controller is provided on the line between the gas supply source and the openings 1 3 9 , 1 4 3 , 1 5 1 , 1 6 1 to control the flow of gas into the process The chamber 101 and the inner liner 120 may be subjected to thermal and mechanical stresses that are resistant to high temperatures and high vacuum operations, and are resistant to any metal, ceramic, crystal, or glass that is corroded by gases and vapors used during processing. Made of materials. The container 101 and the liner 120 are preferably made of opaque, translucent, or transparent quartz glass having a thickness sufficient to withstand mechanical stress and which prevents deposition of by-products produced by the process, thereby reducing potential contamination of the processing environment. In -15- (12) 1250586. The container 1 ο 1 and the inner liner 1 20 are preferably made of quartz which can reduce or eliminate heat transfer from the area where the wafer 1 处理 8 is processed or the processing area 1 2 8 . The entire batch of wafers 10 8 is introduced into the heat treatment apparatus by loading gates or loading ports (not shown), and then entering the processing chambers or openings in the processing chamber or substrate 124 capable of forming a gas tight seal. Inside the chamber 102. In the configuration shown in FIG. 1, the processing chamber 102 is an upright reaction furnace, and is raised during processing by the movable base 130, and the hatch is closed with a seal (eg, a Ο-ring) on the substrate 124; When the base 1 130 is lowered, an operator or an automated processing system (not shown) such as a boat handling unit can position the carrier or boat 106 on the support base 104 attached to the base. The heating element 1 1 2 comprises a top 1 3 4 (element 1 1 2 - 3 ), a side I 3 6 (element 1 1 2 - 2 ), and a bottom 1 3 8 (element Η 2) positioned at the processing chamber 1 0 2 - 1) Nearby components. The heating element 112 is preferably surrounded by the wafer to obtain good viewing factors and thereby provide an isothermal control volume or processing zone 128 within the processing chamber for processing the wafer 108 therein. The heating element approaching the bottom of the processing chamber 1〇2 138 can be disposed within the base 130. If desired, a heating element can be added to or on the substrate 1 24 to supplement the heat of the heating element 1 1 2 - 1. In the embodiment illustrated in Figure 1, the heating element 112-1 near the bottom of the processing chamber is preferably received within the movable base 130. The base 130 is made of a thermally insulating and electrically insulating material, or an insulating block 14 嵌入 in which the electrical resistance heating element 1 1 2 - 1 is embedded or attached. The base 130 further includes more than one feedback sensor or thermocouple 141 to control the heating element 丨2 _ ]. In the configuration shown, the thermocouple 14 1 is embedded in the center of -16- (13) 1250586 of the insulating block]40. The side heating elements 1 1 2 - 2 and the top heating elements 1 1 2 - 3 ' may be disposed in or on the insulating block 110 in the vicinity of the container 110. The side heating elements 1 1 2 - 2 and the top heating elements 1 1 2 - 3 are preferably housed in the insulating block Π 0. The heating elements 1 1 2 and the insulating blocks 1 1 0, 1 4 0 can be designed in any shape. Constructed and can be made in any manner and from any material. In order to obtain the desired processing temperature up to 1150 °C, the heating element 1 1 2 - 1 near the bottom 1 3 8 of the processing chamber 1 〇 2 preferably has a temperature of about 0.  The maximum power output from 1 kW to about 10 kW, and at least 1 15 (the maximum processing temperature of TC. More preferably, these bottom heating elements 1 1 2 - 1 have at least about 3.  Maximum power output of 8 kW, and maximum processing temperature of at least 95 °C. In an embodiment, the side heating elements 1 1 2 · 2 are functionally divided into a plurality of zones ' including a lower zone and an upper zone closest to the base 130, each zone being independent of the top heating element 1 1 2 - 3 In addition to the bottom heating elements 1 1 2 -1, the operation is performed at mutually different power levels and duty cycles. Heating element 1 1 2 is controlled by any suitable means, including control techniques using conventional techniques. Since the heating element and the insulating block are housed in the inverted quartz j: the inside of the insulating block 1 4 2, the contamination from the insulating block 1404 and the bottom heating element] 2 is not completely eliminated, and is much reduced. The 坩埚1 4 2 acts as a barrier between the heating element and the processing chamber 1 〇 2 .坩埚1 4 2 and load connection j; 阜, the boat handling unit environment is also sealed to further reduce or eliminate the pollution of the treatment environment. The interior of 坩埚1 42 is usually at standard atmospheric pressure, - 17- (14) 1250586. Therefore, the strength of the entire 坩埚1 42 should be sufficient to withstand the pressure difference between the treatment chamber 丨02 and the base 1 3 0 like 1 atmosphere. . When the wafer 1 〇 8 is loaded or unloaded, that is, when the pedestal is at a lower position (Fig. 3), the bottom heating element 1 1 2 - 1 is still energized to maintain a lower idle temperature than the desired temperature. For example, the processing temperature for the bottom heating element is 950 ° C, and the no-load temperature is 50 - 150. (: For some processing procedures, such as those with higher desired processing temperatures and/or higher desired heating rates), the no-load temperature can be set higher to lower the bottom heating element 1 1 2 The thermal cycling effect of -1, in order to extend the life of the component. 0 In order to further reduce the pretreatment time (that is, the time required to prepare the heat treatment device 1 for processing), during push or load (ie when lifting) The bottom heating element 1 1 2 - 1 can be heated to the desired processing temperature or lower temperature when carrying a boat 106 with the wafer 108 on the base 130. However, in order to make the wafer 1 0 8 and the heat treatment device 1 0 0 The thermal stress on the assembly is minimized, preferably with the bottom heating element 1 1 2- 1 and the heating element 112-3 located at the top 134 of the processing chamber 102 and the heating element 112-2 ' located at the side 136 simultaneously. Desirable processing temperature. Therefore, for some processing programs, such as those requiring higher processing temperatures, when the last wafer in a set of (batch) wafers is loaded, the base begins to lift. And before the base starts to lift The bottom heating element 11 2 - 1 begins to heat up. Similarly, it should be understood that after the treatment, and during the pull or unload cycle (ie, when the base is lowered) 28, the supply to the bottom can be reduced or completely removed - 18- (15) (15) 4 1250586 Heating element 1 1 2 1 power 'to start to reduce the base temperature to no-load temperature, ready to cool the wafer 1 〇 8 and unloaded by the boat processing unit. To help cool The base I 3 0 is lowered to the temperature of the drawing before the pulling or unloading cycle, and is provided with a line for supplying air or an inert scrubbing gas (for example, nitrogen) through the insulating block 104. The nitrogen is preferably passed through the insulating block. 1 40 The center channel 1 4 4 is ejected and can flow between and around the top of the insulating block 140 and the interior of the 坩埚1 4 2 . Then pass the high efficiency rate air filter (not shown) or the discharge device (not shown), the hot nitrogen is discharged to the external environment. The central injection structure design can cool the center of the wafer 108 more quickly, and is suitable for minimizing the center/edge temperature difference of the bottom wafer. This temperature difference will be damaged by the displacement of the lattice structure As described above, in order to increase or lengthen the life of the bottom heating element 1 1 2 - 1 , the no-load temperature can be set higher and closer to the desired processing temperature to reduce the thermal cycle effect. In addition, it is also desired to be enriched. In an oxygen environment, the heating element 11 2-1 is periodically baked to promote the formation of a protective oxide surface layer. For example, where the impedance heating element is formed of an aluminum-containing alloy (eg, Kantan®), The heating element 1 1 2 - 1 is baked in an oxygen-containing environment to promote the growth of the alumina surface. Therefore, the insulating block 4 〇 may further include an oxygen line (not shown) to bake the heating element 112-1 During the period 'promotes the formation of a protective oxide surface layer. In another embodiment, the oxygen for baking can be introduced through a three-way valve via a rinsing line for supplying nitrogen during the processing. 3 is a partial cross-sectional view of the heat treatment apparatus 10. Figure 3 shows the heat treatment device 0 0 when loading or unloading the wafer 1 0 8 , that is, when the base 1 3 0 -19- (16) 1250586 step 46 reversed the holding factor % ώ: under the cover The seat is covered by \ j \ \\ plus seat is in the lower position. In this mode of operation, the heat treatment apparatus 1 includes a heat shield 146, which can be rotated or slid between the base wafer 130 and the wafer 1 〇8 in the wafer boat 106. Positioning. In order to improve the performance of the thermal mask, the thermal mask is generally permeable on the side facing the heat treatment element 11 2 -1 and absorptive on the side facing the wafer. The purpose of the thermal mask 146 includes increasing the cooling rate of the wafer 1 in the wafer 1 〇6, and helping to reduce the no-load temperature of the pedestal 1130 and the bottom heating element 1 1 2 -1 to reduce The temperature at which the chamber is warmed up to the desired temperature. An embodiment of a heat treatment package having a heat shield will be described in more detail with reference to Figs. 3 to 6. Figure 3 also shows a heat treatment device 1 having a base heating element 112-1 and a heat shield 146. In the illustrated embodiment, the heat shield 146 is attached to the rotating shaft 150 by means of an arm 148. Rotating the rotating shaft 150 by electric, air pressure, or hydraulic actuator to rotate the thermal cover 1 4 6 into the heated base 1 3 0 and the boat 1 〇 6 during the pulling or unloading cycle a first position between the wafer I 〇 8 in the middle; and during the last or final phase of the push or load cycle (just before the bottom of the boat 106 enters the chamber 102), The thermal mask 146 is removed or rotated to a second position that is not between the bottom and the wafer. Preferably, the rotating shaft 150 is assembled or attached to a mechanism for lifting or lowering the base 130 (not shown), thereby enabling the processing chamber I 〇 2 to be processed as soon as possible at the top of the base. Position the thermal cover 1 4 6 rotation. Maintaining the thermal mask 148 positioning during loading allows the heating element 1 2-1 to heat up to the desired temperature more quickly than if the thermal mask 148 were positioned. Similarly, during the unloading, by reflecting the radiation from the bottom heating element Π 2 - 1, the mask 146 helps to cool the wafer, -20-(17) !25〇586 not cooling those closer The wafer of the base. In another embodiment, the rotating shaft 150 can be mounted or attached to another portion of the heat treatment device 100, and is adapted to move axially with the base 130, or only when the base is completely lowered. Thermal mask 丨 4 6 rotational positioning. Figure 4 illustrates the base heating element of Figure 3; Π2-1 and thermal shield 146' which show thermal or thermal radiation from the bottom heating element, reflected back to the base 1 3 0 ' and absorbed from the batch or stack Thermal energy or thermal radiation from a lower wafer in the wafer. The desired characteristics, high reflectance, and high absorbance can be obtained using a variety of different materials (e.g., metal, ceramic, glass, or polymer coatings; individual or combinations thereof). The table below lists, by way of example, various suitable materials and corresponding parameters. Table 1 Material Absorption rate - ^ inch rate Not steel, ---- 0. 2 opaque quartz ----- 0. 5 ——__〇. 8 polished Ming 0. 03 —-__〇. 5 ——7 Carbide 矽 0. 9 -----1 —--- According to an embodiment, the thermal mask may be made of a single material such as tantalum carbide, opaque quartz, or part 2 material lining, phantom stainless steel, cargo light, another The side is painted, scratched, or roughened. Bu-, ~ side throw surface rough, can greatly change its heat transfer _ 彳 ° • heat mask one. Specially wrapped in reflectance - 21 ^ 1250586 (18) In another embodiment, the thermal mask M6 can be made of two different layers of material. Figure 5 is a schematic illustration of a thermal mask 64 having an upper layer of high absorbency material such as tantalum carbide or opaque quartz, and a lower layer of high reflectivity material or metal such as polished stainless steel or polished 纟g 5 4. Although shown to have nearly equal thickness, it should be understood that any of the upper layer 152 or the lower layer 154 may have a greater thickness, depending on the particular needs of the thermal mask, for example, in order to have a coefficient of thermal expansion between the two layers. The thermal stress caused by the difference is minimized. For example, in some embodiments, the lower layer i 5 4 can be a very thin, polished metal layer or film deposited, formed, or plated onto a quartz plate that forms the upper layer 152. The materials may be formed, interlocked, or joined together by conventional means such as joining or securing. In yet another embodiment, the thermal mask 146 further includes an internal cooling runner 156 to further isolate the wafer 1 〇 8 from the bottom heating element 1 1 2 -1. In a version of this embodiment, as shown in Figure 6, a cooling runner 156 is formed between two different material layers 15 2 and 145. For example, a cooling flow path 156 can be formed in a high absorptivity opaque quartz layer 15 2 by a process or any other suitable technique and a metal layer 丨 5 4 or a coating layer coated with, for example, titanium or aluminum. cover. The cooling runners 156 may also be formed in the metal layer 154 or both in the metal layer and the quartz layer 152. Figure 7 is a perspective view of one embodiment of a thermal mask assembly 153 comprising a thermal mask 146, an arm 148, a rotating shaft 150, and an actuator 155. As shown in FIG. 8, the heat treatment device 100 further includes a spacer plate 158, which can be rotated, slid, or otherwise moved over the boat 1 〇6 to be positioned to be sufficiently lowered at the base. In the case of the location, the heat treatment chamber 102 - 22 - 1250586 (19) is isolated from the outside or the loading environment. For example, when the base 130 is in the lower position, the spacer plate 158 slides into position above the carrier 1 〇 6 and rises to isolate the process chamber 102. In another embodiment, the spacers 158 can also be rotated or oscillated into a position above the carrier 106 when the base 13 〇 is in a lower position and then raised to isolate the processing chamber 102. The spacers 158 can be selectively rotated about the threads or relative to the posts to simultaneously lift the spacers, while isolating the process chamber 102 as it swings into position over the carrier 106. For a processing chamber 102 (e.g., a CVD system) that operates normally under vacuum, the spacers 158 can form a vacuum seal to the substrate 142 to allow the processing chamber 102 to be depressurized by suction to Handle pressure or vacuum. For example, it may be desirable to draw a 10 2 step down of the process chamber between batches of wafers to reduce or eliminate potential for contaminated processing environments. Preferably, the vacuum seal is formed by a large diameter seal (e.g., a 0-ring), so the separator plate 158 may include a plurality of water passages 160 to cool the seal. In the embodiment shown in Figure 8, the same rim-shaped ring 132 as the sealing jaw 142 is used to seal the spacer 1 58 when the base 130 is in the raised position. For a heat treatment device 130 in which there is a processing chamber 102 operating normally under atmospheric pressure, the separator 1 58 is merely an insulating plug designed to reduce heat loss at the bottom of the chamber. In order to achieve an embodiment of this object, an opaque quartz plate is used which may further (and may not include) a plurality of cooling channels below or inside thereof. When the base 130 is in the fully lowered position, the spacer 158 is moved into position below the processing chamber 102 and then the chamber is isolated by more than one electrical, hydraulic, air brake (not shown), lifted. Preferably, the actuator -23 - (20) 1250586 is an air that is used at about 15 to 60 pounds per square foot (p S I G ) and is often available on a heat treatment unit to operate the air pressure valve. In one version of the example, the spacer panel 158 can include a panel and the arm attaches a plurality of wheels to both sides of the panel. On the working partition plate 158, roll from the two parallel rails into the processing chamber 1 〇 2 below the rail, then pivot the arm and move the spacer 1 5 8 direction to seal the processing chamber 1 0 2 . As shown in Fig. 9, the heat treatment apparatus 1 further includes a magnetic rotation system 1 62 that rotates the support 1 〇 6 together with the wafer 1 〇 8 supported on the wafer 1 〇 6 during the processing. Rotating the wafers 108 between them improves the uniformity within the wafer by averaging the non-uniformity of the heating elements 1 1 2 to create an upper temperature and object response profile. Wafer rotation system 1 6 2 pass 〇.  Rotate wafer 1 0 8 at a rate of 1 to about 1 rpm. The wafer rotation system 1 62 includes a drive assembly or a rotating mechanism having a rotary motor 1 motor or a pneumatic motor) over a chemically resistant container (eg, annealed Teflon or magnet 186. Just at the base) 1 3 0 insulation block 1 4 0 1 7 0, and the drive shaft 1 7 2 connecting the insulation block, transmitting another magnet 1 7 4 above the insulation block in the top of the rotary base. Steel shaft 1 7 2, and The two magnets 174 are also coated in the chemical intrusion. The magnets 174 located on the side of the base 130 are passed through the 坩: steel ring or the support seat 104 embedded/attached to the processing chamber 102] via the base] 30 and magnetically coupled to the rotating mechanism] 64, the air is as in the present embodiment by the short arm or in the middle, the position of the plate or the stop-and-hold pull-up wafer 104 and the boat handling procedure The wafer with uniform gas flow can often be used in the inner and outer steel ring energy of about 66 (m tin m 146, and stainless steel) in the ring 170, the vessel vortex 142 of the erosion I. Can eliminate the need to set the -24- (21) 1250586 rotating mechanism in the processing environment or set the mechanical coupling In order to eliminate the potential source of leakage or contamination. Further, the rotating mechanism is disposed outside and away from the processing environment, and the temperature exposed by the rotating mechanism 1 64 can be minimized, thereby increasing the wafer. Reliability and Operating Life of Rotating System 1 62 In addition to the above, wafer rotating system 162 may further include more than one sensor (not shown) to ensure proper wafer boat position, and processing chamber A suitable magnetic coupling of the steel ring or magnet 176 in the 102 and the magnet 174 in the base 130. A sensor that determines the relative position of the boat 106 or a sensor that confirms the position of the boat is particularly useful. In an embodiment a sensor for confirming the position of the boat, including a sensor protrusion (not shown) on the boat 107, and an optical or laser sensor located below the substrate 14. In operation, Yu Jing When the circle 1 〇 8 has been processed and the base 130 drops to about 3 下方 below the substrate 1 2 4, the wafer rotation system 1 62 begins to rotate until the wafer sensor protrusion is visible. Then, the wafer is manipulated. The rotating system 1 62 aligns the boat to unload the wafer 108. At this point, the boat will drop to the loading/unloading height. After the initial inspection, the position of the boat can only be confirmed from the marking sensor. As shown in Fig. 10, the heat treatment device 100 preferably uses a modified sprayer 2 16. The ejector 2 16 is a distributed or crossed (X) flow ejector 216-1. The process will be processed via an opening or hole of the ejector located on the wafer 108 and the boat 106 side. The gas or vapor is introduced and flows through the surface of the wafer in a laminar flow and then discharged from the discharge port or tank 182 of the process chamber line 1 250 on the opposite side. The cross-flow ejector Π 6-] improves the batch of wafers by providing a 25- to 1250586 (22) cloth with improved process gas or vapor, relative to the earlier upward or downward flow design. Uniformity of each wafer within 8. In addition, the parent fork iiL·Jing Yi 2 16 - 1 may have other purposes, including spraying a cooling gas (e.g., helium, nitrogen, hydrogen) to force convection cooling between the wafers 8 . The use of a cross-flow ejector 2 1 6 - 1 results in a more uniform 1 〇 8 between the wafers, either at the bottom or top of the stack or the batch, compared to an earlier upward or downward flow design. Or the middle of the wafer. The aperture 180 of the ejector 2 16 is preferably designed such that its size, shape, and position provide a spray pattern to provide forced convection cooling of each of the wafers of 108, so that no Large temperature gradient. Figure 1 is a partial cross-sectional side view of the heat treatment apparatus 1 of Figure 1, showing the relationship between a portion of the injector orifice 180 and the treatment chamber liner 120, and the discharge tank 18 2 and the wafer 10 8 relationship. Figure 12 is a partial plan view, taken along line A - A of the heat treatment device 1 〇 0 of Figure 10, showing the gas laminar flow of an embodiment, from the first ejector 1 8 4 hole 1 8 0 - 1 and The hole 1 8 0 - 2 of the second ejector 1 8 6 passes through one of the illustrated wafers 1 〇 8 to the discharge slots 1 8 2 - 1 and 1 8 2 - 1. It should be noted that the position of the discharge groove 182 shown in Fig. 1 has been moved from the positions of the discharge grooves 1 8 2 - 1 and 1 8 2 - 1 shown in Fig. 12, so that the discharge groove and the ejector 1 1 have been made. 6 -1 can be displayed in a single section view of the heat treatment unit. It should also be noted that the dimensions of the injectors 1 8 4, 1 8 6 and the discharge slots 1 8 2 - 1 , 1 8 2 - 1 relative to the wafer 108 and the process chamber liner 120 have been exaggerated to A more ambiguous example is the flow of gas from the ejector to the discharge chute. Figure 12 also shows that the process gas or vapor is initially directed away from the wafer 1 〇 S and toward the lining] 20 to facilitate mixing of the process gas or vapor before reaching the crystal -26-1250586 (23) circle. The construction of the apertures 180-1, 180-2 is particularly useful when the first and second injectors 184, 186 are introduced with different reactants to, for example, form a plurality of component films or layers. Figure 13 is another partial plan view along line aa of the heat treatment apparatus 100 of Figure 1, showing the holes 1 800 from the first and second injectors 1 8 4, 1 8 6 through the instantiated wafer 1 〇 8 In one embodiment, another embodiment of the gas flow path to the drain tank 128. Figure 14 is another partial plan view of the heat treatment device 1A along the line aa of Figure 10, showing the holes 1 0 0 from the first and second injectors 1 8 4, 1 8 6 through the instantiated wafer 1 〇 8 One of them, another embodiment of the gas flow path to the discharge tank 128. Figure 15 is another partial plan view of the heat treatment apparatus 100 of Figure 10 taken along line AA of the first and second injectors 1 8 4, 1 8 6 through the illustrated wafer 1 〇 8 First, another embodiment of the gas flow path to the discharge tank 182. Figure 16 is a cross-sectional view of a heat treatment apparatus 100 having two or more upwardly flowing injectors 1 1 6 - 1 and 1 16 6 - 2 in another embodiment. In this embodiment, the process injectors 1 16-1, 1 16-2 having individual outlet ports located below the process chamber 102, with the process gas and vapor flowing upwardly, passing through the wafer 1 〇 8 and then used The exhaust gas is discharged from the discharge tank 182 at the top of the lining. The upwardly flowing injector system is also shown in Figure 1. Figure 17 is a cross-sectional view of another embodiment of a heat treatment apparatus 100 having a downward flow ejector system. In this embodiment, the process injectors 1 1 6 - 1 , Η 6 - 2 having individual holes located in the process chamber 102 have their process gas -27-1250586 (24) body and vapor flowing downwardly, and After passing through the wafer 108, the used exhaust gas body 'is discharged from the drain groove ι82 at the lower end of the liner 120. The injectors 116, 216, and/or the liner 2, can be quickly and easily replaced or replaced with different injectors and liners that have different injection points and points that process the process gas from the treatment zone. Those skilled in the art will be able to understand the embodiment of the cross-flow injector 2丨6 shown in Fig. 10, because the flow pattern in the processing chamber 1 〇2 can be quickly obtained from the cross-flow model shown in Fig. 1 And it is easily changed to the upward flow model as shown in Fig. 1 or i6, or to the downward flow model as shown in Fig. 17, so that the degree of freedom of processing elasticity is increased. This can be accomplished by using an easily assembled injector assembly 2 16 and liner 1 2 0 to convert the flow geometry from a cross flow to an upward flow or a downward flow. The ejector 1 16 6 , 2 16 , and the liner 1 2 〇 can be separate components, or the ejector can be integrally formed with the liner as a single component. The latter embodiment is particularly useful where it is desired to frequently change the process chamber 1 流动 2 flow model. An exemplary method or process for operating the heat treatment apparatus 1 〇 做 will be described with reference to FIG. Figure 18 is a flow diagram of various steps in a method of heat treating a batch of wafers 108, wherein each wafer of the batch of wafers is rapidly and uniformly heated to a desired temperature. In this method, the base 1 3 〇 is lowered, and when the base 390 is lowered, the 'heat hood 1 42 is moved into position' to reflect the heat from the bottom heating element 1 I 2 - 1 back to the base to maintain the temperature of the base. And isolate the processed wafer 1 〇 8 (step 1 90). Optionally, the spacers 1 58 are moved to position ' to seal or isolate the process chamber 1 (step) 92). Power is applied to the heating element n 2_2, 1 ] 2_3 to preheat the process chamber 02 -28 - l25 〇 586 (25) $ to or from the intermediate temperature or no-load temperature (step 194). The carrier or boat 1 〇 6 carrying the new crystal _ 1 〇 8 is positioned on the base 130 (step 196). While the spacer 158, the thermal shield 142, and the bottom heating element n 2 _ 1 are warmed to preheat the wafer to an intermediate temperature, the base 1 3 举 is lifted to position the boat in the processing zone. Within 8 8 (step 1 97) ° preferably, just before the wafer boat 6 is positioned within the processing zone 28, the thermal mask 1 4 2 is removed; for example, a fluid for processing a gas or vapor is passed through The ejection port 180 is introduced into one side of the wafer cassette 8 (step 9 8). The fluid flows from the ejection opening 180 through the surface of the wafer 1 〇 8 to the other side of the wafer with respect to the ejection opening and is disposed in the vent 1 8 2 in the lining i 2 0 (step 199). Selectively, during the heat treatment of the entire batch of wafers 108, the boat! 〇6 can be rotated in the processing zone 128 to further improve the uniformity of the heat treatment; during the heat treatment of the wafer, the mechanical energy of the magnetic coupling is transmitted to the carrier or the boat through the base 丨3. The wafer boat is reset (step 200). A method or process of the heat treatment apparatus 1 of another embodiment will be described with reference to Fig. 19. Figure 9 is a flow chart showing the steps of a method for heat-treating one of the batches of wafers in a carrier. In this method, the apparatus 1 is provided with a processing chamber 102, and the size and volume of the processing chamber are not required to accommodate the carrier 1 〇6 having the wafer 1 〇8 therein (no protective heater) The size of the ) is much larger. The base 130' is lowered and the wafer boat μ holding the wafer 1〇8 therein is positioned on the base (step 2 0 2 ). While the wafer 1 〇 8 is preheated to the intermediate temperature, the pedestal 130 is lifted to insert the wafer boat into the processing chamber 1 〇 2 (step 205). Apply electric power to the heating element] 丨2 - ], 1 1 2 - 2,] 1 2 - 3, each heating element is placed on the top wall of the processing chamber 1 0 2] 3 4, side wall 〖3 6, -29 - (26) 1250586 and at least one of the bottom wall 1 3 8 to start heating the processing chamber (step 206). Optionally, the power applied to at least one of the heating elements is independently adjusted to provide a processing environment 1 in the processing chamber 102 at a desired isothermal environment (step 208). When the wafer has been heat treated, and when the processing region 128 maintains the desired temperature, the substrate 130 is lowered, and the thermal mask 1 42 is moved into position to isolate the processed wafer 1 〇 8 , and The heat from the bottom heating element 1 1 2 - 1 is reflected back to the base 130 to maintain the temperature of the base (step 2 1 0 ). Optionally, the spacer is moved into position to seal or isolate the process chamber 102 and apply power to the heating elements 1 1 2 - 2, 1 1 2 - 3 to maintain the temperature of the process chamber (step 2 1 2 ). Then, the boat 1 〇 6 is removed from the base 130 (step 2 1 4). Position another boat loaded with a new batch of wafers to be processed on the base (step 2 16). Reset or remove the spacer 1 5 8 (step 2 1 8). Retreating or resetting the thermal mask to preheat the wafer 1 0 8 in the wafer boat to the intermediate temperature while the lift base 130 is being inserted into the processing chamber 102 for heat treatment of the new batch of wafers. (Step 2 2 0 ). The heat treatment apparatus 1 provided and operated as described above reduces the treatment or cycle time by about 75 % compared to conventional systems. For example, a large batch of heat treatment devices is known to process 100 wafer finished products in approximately 23 minutes (including pre- and post-processing times). The heat treatment apparatus 100 of the present invention performs the same treatment on a small batch of 25 finished wafers in about 58 minutes. Referring to Figures 20-3, an injection system of one embodiment of the present invention will be described with an injection port or orifice distributed in a long tube injector that has been used in horizontal • 30-1250586 (27) and upright furnaces to control The gas concentration of the entire surface of the substrate. Typically, depending on the particular application, more than two injectors are used to distribute similar or different gases, for example, to deposit P-doped polysilicon, an injector with a dispersed injection port has been used to introduce the p Η 3 gas The crystal is loaded across the entire wafer in the furnace' to provide a uniform gas concentration. An ejector with a dispersed jet is used to ensure the same properties of the deposited film for the entire wafer loading. Conventionally, the injector is fixed, i.e., the direction of the injection port or orifice of the injector is fixed, and the direction is typically toward the center of the wafer. Even so, the film deposited on the wafer still exhibits undesirable intra-wafer uniformity. The uniformity, quality, and repeatability of the deposited film depend not only on gas flow rate, concentration, pressure, and temperature, but also on gas flow models and gas distribution. The spray system provided by the present invention can adjust the angle to enhance the impact mixed momentum transmission of different gases, thereby improving flow uniformity and quality and uniformity of the deposited film. The injection system of the present invention typically includes more than one long spray tube having a plurality of spray ports or orifices distributed in the tube to direct reactants and other gases through the surface of each substrate. The long spray tube can be rotated by three hundred and sixty degrees with respect to one axis.

圖20顯示本發明之一實施例的熱處理裝置230,其 包括一噴射系統2 5 0。爲了簡化本發明的說明,和本發明 沒有密切關聯的元件,未顯示於圖和說明中。裝置2 3 0通 常包括容置一處理室236的容器234,該處理室236具有 適於容置裝有一批次晶圓242於其內之載具240的支撐座 2 3 8。裝置2 3 0包括熱源或爐2 4 4,以將晶圓2 4 2升溫至 所欲的熱處理溫度。交叉流內襯23 2係用於增加晶圓2G -31 - 1250586 (28) 附近之處理氣體或蒸氣的濃度,並降低形成於處理室2 3 6 內表面之沉積剝落或掉落對晶圓的污染。內襯23 2的形狀 構造設計成適合晶圓載具240的外形輪廓,且其尺寸設計 成可縮小晶圓載具2 4 0和內襯壁之間的間隙。內襯2 3 2組 裝於基板246並加以密封,交叉流噴射系統2 5 0設於內襯 2 3 2和晶圓載具2 4 0之間。經由位於晶圓2 4 2和載具2 4 0 一側的多個噴射口或孔2 5 2導入氣體,以下述的層流經過 晶圓的表面。相對側的內襯2 3 2形成多個槽2 5 4,以排放 氣體或反應後的副產品。 交叉流噴射系統2 5 0包括一個以上的長噴射管,圖 21顯示本發明一實施例的長噴射管256。如圖所示,長噴 射管2 5 6設有許多個噴射口或孔25 2。在一實施例中,各 噴射口 2 5 2的間隔距離,使得噴射管組裝後,每一噴射口 2 5 2的高度,介於晶圓載具24 0所支撐的兩個相鄰晶圓 2 4 2之間,所以從噴射口 2 5 2噴出的氣體,會流經相鄰兩 晶圓所形成的路徑。在另一實施例中,噴射管2 5 6之各噴 射口或孔2 5 2的間隔和數目,配合內襯之各槽2 5 4的間隔 和數目,所以過量的氣體和反應副產品,從內襯的對應;);曹 排放。本發明的噴射系統2 5 0可包含一個以上如圖2 1所 示的長噴射管2 5 6。長噴射管2 5 6可由能承受高溫高真空 作業之熱應力和機械應力.,且能抗處理期間所使用或釋放 之氣體和蒸氣腐蝕的任何金屬、陶瓷、晶體、或玻璃材料 製成。噴射管較佳是由不透明、半透明、或透明的石英ί皮 璃製成。在一實施例中,噴射管是由石英製成。 -32- 1250586 (29) 圖22是熱處理裝置2 3 0的局部剖視圖,其顯示具有 內襯2 3 2之噴射系統2 5 0和基板2 4 6的連接。長噴射管 256耦合於基板246中的噴射入口 262,且介藉由〇型環 2 6 4密封於基板。如圖2 3所示’長噴射管2 5 6藉由挾持 塊2 6 6嚙合內襯2 3 2。所小2 6 8將夾持塊2 6 6鎖固於基板 246。反應劑或其他氣體經由入口 262導入噴射管256。 圖24是內襯232之頂板270的局部平面圖’該頂板 270具有複數開口 272,用以容置一個以上的噴射管256 。如圖所示,頂板2 7 0的開口 2 7 2設有多個凹陷2 7 4,以 穩定長噴射管2 5 6,並使館2 5 6的噴射口 2 5 2朝向特定的 方向。圖示於每一開口 272的三個凹陷274 A-C僅爲例示 ,應瞭解可設置任何數目的凹陷’以便長噴射管可相對一 軸做三百六十度旋轉和調整,且噴射口 2 5 8可朝向所欲的 任何方向。在一實施例中,長管2 5 6包括一刻度銷(未示 )用以將長管2 5 6鎖固於開口 272之複數凹陷2 74其中之 —。在另一實施例中,管2 5 6的噴射口或孔2 5 2和刻度銷 對齊。因此當長管2 5 6組裝後,刻度銷鎖固在其中一個凹 陷2 7 4內,且管2 5 6中的噴射口 2 5 2朝向鎖固於凹陷內之 刻度銷所指示的方向。 例如,當長管2 5 6內的刻度銷鎖固在凹陷2 7 4 A內時 ,噴射口 252朝向面對內襯232的內面。噴射口 252噴出 的氣體撞擊內襯壁,並在流經每一基材242表面之前先混 合。在另一實施例中,長管2 5 6內的刻度銷鎖固在凹陷 2 7 4 B內時,每一噴射管2 5 6的噴射口 2 5 2相向面對。噴 -33· (30) 1250586 射p 2 5 2噴出的氣體相互撞擊,並在流經每一基材2 4 2表 面之前先混合。在又一實施例中,長管2 5 6內的刻度銷鎖 固在凹陷2 7 4 C內,所以噴射口 2 5 2朝向基材2 4 2的中心 。形成在開口內之凹陷的數目,可和所希望的數目一樣多 ,所以長管2 5 6可做三百六十度旋轉,並穩定在所欲的位 置,因此噴射口 2 5 2可朝向所欲的方向。 本發明的噴射系統使得噴射口具有充分的旋轉自由度 ,以提昇氣體之衝擊混合的動量傳輸,該動量傳輸在不同 的方法中可能會改變。影響氣體混合和流動方向之噴射口 或孔的方位,可以一刻度一刻度(run-to-run )的方式調 整,而不需修飾處理室。 在一實施例中,本發明和具有突出段之交叉流內襯連 用。與本發明一起申請的美國專利申請第__號 案(代理人序號 33586/US/1) ’描述交一叉流內襯,其 揭露在此全部倂入參考。圖25-26顯示交叉流內襯276, 能和本發明噴射系統2 5 0連用。如圖所示’交叉流內襯 2 7 6包括具有封閉端2 8 0和開口端2 8 2的圓筒2 7 8。圓筒 2 7 8設有縱向突出段2 84以容置交叉流噴射系統250。在 突出段2 8 4的相對側,圓筒2 7 8設有縱向排列的複數橫向 槽2 8 6,以排放氣體和反應副產品。 交叉流內襯2 7 6的尺寸和形狀構造,配合晶圓載具 2 4 0和載具支撐座2 3 8的外形輪廓而設計。在一實施例中 ,內襯2 7 6包括尺寸適合晶圓載具24 0的第—段2 8 8、和 尺寸適合載具支撐座2 3 8的第二段2 9 〇。第—段2 8 8和第 -34 - 1250586 (31) 二段2 9 0的直徑可不同,亦即內襯2 7 6可爲階梯狀,以分 別適合晶圓載具2 4 0和載具支撐座2 3 8。在一實施例中, 內襯2 7 6的第一段2 8 8具有的內徑約爲載具外徑的1 〇 4 % 至1 1 0 % 。在另一實施例中,內襯2 7 6的第二段2 9 0具有 的內徑約爲載具支撐座2 3 8外徑的1 1 5 %至1 2 0 % 。第二 段2 9 0可設有一個以上的熱遮罩2 6 4,以保護例如Ο型環 的密封免於被加熱元件過度加熱。具有縱向突出段2 8 4之 交叉流內襯2 7 6,可配合晶圓載具2 4 0的外形輪廓而製造 ,以縮小內襯276和晶圓載具24〇間的間隙。此有助於減 少內襯內壁和晶圓載具間的間隙區域內的漩渦和停滯,且 因此改善流動均勻性,凡此都可改善沉積薄膜的品質、均 勻性和重複性。 在圖2 7所示的一實施例中,二支長噴射管2 5 6組裝 在交叉流內襯276的突出段284內。旋轉並調整長管256 ’使噴射口 252朝向內襯276的內表面。如圖27所示, 噴射口 2 5 2噴出的氣體撞擊內襯壁,並在流經每一基材 242表面之前,先於突出段284內混合。在圖28所示的 另一實施例中,旋轉並調整兩支長管2 5 6,使噴射口 252 相向面對。如圖2 8所示,噴射口 2 5 2噴出的氣體相互撞 擊’並在流經每一基材242表面之前,先於突出段284內 ^合。在圖2 9所示的又一實施例中,旋轉並調整兩支長 管2 5 6,使噴射口 2 5 2朝向基材2 4 2的中心。 下列的例子用以進一步例示本發明,且無意以任何形 式限制本發明的範圍。 -35 > 1250586 (32) 例子1 此例子例示使用一氯甲矽烷(D C S )和氨氣之氮化矽 沉積,該沉積是在包括一本發明噴射系統的熱處理裝置內 執行。該噴射系統包含用以導入二氯甲矽烷氣體的第一噴 射管、和用以導入氨氣的第二噴射管。每一第一和第二噴 射管設有多個口或孔’以引導氣體流經過每一基材的表面 〇 在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的二氯甲矽烷和氨氣,在流經 每一基材的表面之則’先遠離晶圓並撞擊內襯內表面。 在另一種變化例中,旋轉並調整長管,使噴射口朝向 基材的中心。從噴射口噴出的二氯甲矽烷和氨氣,流經每 一基材的表面。 圖3 0是計算流體動力學的例示說明,其顯示噴射器 構造內之二氯甲矽烷和氨氣的均勻流,經過基材的表面。 噴射器的噴射口朝向基材的中心,創造徑向向內的氣體流 。在此案例中,二氯甲矽烷和氨氣的質量差較小(D C S二 1 01,NH3 = 1 7 ),因此氣體速度較相似。 例子2 此例子例示使用二特丁基氨基矽烷(BTBAS ; bis t e r t b u t y】a m i η 〇 s i 1 a n e )和氨氣之氮化矽沉積,該沉積是在 包括一本發明噴射系統的熱處理裝置內執行。該噴射系統 -36- 1250586 (33) 包含用以導入二特丁基氨基矽烷氣體的第一噴射管、和用 以導入氨氣的第二噴射管。每一第一和第二噴射管設有多 個口或孔,以引導氣體流經過每一基材的表面。 在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的二特丁基氨基矽烷和氨氣, 在流經每一基材的表面之前,先遠離晶圓並撞擊內襯內表 面。 在另一種變化例中,旋轉並調整長管,使噴射口朝向 基材的中心。從噴射口噴出的二特丁基氨基矽烷和氨氣, 在流經每一基材的表面之前,先撞擊和混合。 圖3 1是計算流體動力學的例示說明,其顯示噴射器 構造內之二特丁基氨基矽烷和氨氣的均勻流,經過基材的 表面。噴射器的噴射口朝相互面對,創造收斂的氣體流。 在此案例中,二特丁基氨基矽烷的分子量爲1 74,氨的分 子量爲1 7。二特丁基氨基矽烷和氨氣的重繞與混合,確 保氣體流經過晶圓時的均勻氣體速度,並導致在300毫米 之晶圓上,額外小於1 .5% ( 1 sigma )的晶圓內均勻度。 例子3 此例子例不使用二甲基銘(T M A ; 11. i in e t h y I a 1 u m i n u m )和臭氧(〇3 )之氧化鋁(A]2〇3 )沉積,該沉積是在包 括一本發明噴射系統的熱處理裝置內執行。該噴射系統包 含用以導入三甲基鋁氣體的第一噴射管、和用以導入臭氧 氣體的第二噴射管。每一第一和第二噴射管設有多個口或 -37- (34) 1250586 孔’以引導氣體流經過每一基材的表面。 在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的三甲基鋁和臭氧氣體,在流 經每一基材的表面之前,遠離晶圓並撞擊內襯壁。 在另一種變化例中,旋轉並調整長管,使噴射口相互 面對。從噴射口噴出的三甲基鋁和臭氧氣體,在流經每一 基材的表面之前,先撞擊和混合。 圖3 2是計算流體動力學的例示說明,其顯示噴射器 構造內之三甲基鋁和臭氧氣體的均勻流,經過基材的表面 。噴射器的噴射口朝向內襯壁,創造徑向向外的氣體流。 三甲基鋁和臭氧的重繞與混合,確保氣體流經過每一晶圓 之表面時的均勻氣體速度。 以上關於本發明之特定實施例和例子的描述,僅係例 示或說明的目的而提出,且雖然本發明已經以先前的例子 做說明和圖解,但不能被解釋爲受限於此。上述.例子並無 意耗盡或限制本發明於所揭露的精確模式,且基於上面的 教示,可在本發明的範疇內,做許多的修飾、改良、和變 化。本發明的範疇意欲藉由所附的申請專利範圍及其均等 者’含蓋此處所揭露者的上位領域。 【圖式簡單說明】 在閱讀上述詳細說明,配合附圖和以下所附的申請專 利範圍後,將更容易瞭解本發明的這些和各種其他特徵和 優點。 - 38 ~ (35) 1250586 圖1是本發明具有底座加熱器之熱處理裝置的一實施 例的剖視圖,其提供一等溫控制容積,且使用了習知向上 流動的構造設計; 圖2是可用於圖1所示之熱處理裝置中的基板實施例 之透視圖; 圖3是本發明一實施例之熱處理裝置的局部剖示圖, 其具有底座加熱器和熱遮罩; 圖4是本發明一實施例中圖3所示底座加熱器和熱遮 罩的例示圖; 圖5是本發明熱遮罩之一實施例的例示圖,其包括具 有高吸收性的上層材料和具有高反射性的下層材料; 圖6是本發明熱遮罩之一實施例的例示圖,其具有冷 卻流道; 圖7是本發明熱遮罩和致動器之一實施例的透視圖; 圖8是本發明熱處理裝置之一實施例的局部剖視圖, 其具有一隔離板; 圖9是本發明處理室之一實施例的剖視圖,其具有底 座加熱器和磁性耦合晶圓旋轉系統; 圖1 0是本發明熱處理裝置之一實施例的剖視圖,其 具有父叉流噴射系統; 圖1 1是本發明如圖1 〇所示之熱處理裝置之一實施例 的局部側剖示圖,顯示噴射器孔相對於內襯的位置和排放 槽相對於晶圓的位置; 圖1 2是本發明沿圖1 0之A - A線之熱處理裝置一實 -39- 1250586 (36) 施例的局部平面圖,顯不從第一噴射器和第二噴射器之孔 噴出的氣體流,經過晶圓到排放口; 圖1 3是本發明沿圖1 〇之A - A線之熱處理裝置另一 貫施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口; 圖1 4是本發明沿圖1 〇之A - A線之熱處理裝置又一 實施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口; 圖1 5是本發明沿圖1 〇之a - A線之熱處理裝置再一 實施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口;是本發明之一實施 例; 圖1 6是本發明熱處理裝置之一實施例的剖視圖,具 有另一種向上流動噴射系統; 圖1 7是本發明熱處理裝置之一實施例的剖視圖,具 有另一種向下流動噴射系統; 圖1 8是本發明用以熱處理一批次晶圓之方法的一實 施例流程圖,藉此將該批次中的每一晶圓快速且均勻地加 熱至所欲的溫度; 圖1 9是本發明用以熱處理一批次晶圓之方法的另一 實施例流程圖,藉此將該批次中的每一晶圓快速且均勻地 加熱至所欲的溫度; 圖2 0是本發明熱處理裝置之一實施例的剖視圖,其 具有一噴射系統; -40- (37) 1250586 圖2 1是本發明長管之一實施例,其具有多個噴射口 圖2 2是本發明熱處理裝置之一實施例的局部剖面側 視圖,其顯示具有交叉流內襯之噴射系統和基板的連接; 圖2 3是本發明熱處理裝置之一實施例的局部剖面上 視圖,其顯示具有交叉流內襯之噴射系統和基板的連接; 圖24室內襯頂板的局部平面視圖,其顯示具有凹陷 的開口; 圖2 5是本發明交叉流階梯狀內襯之一實施例的外部 視圖,其顯示一縱向突出段; 圖2 6是本發明交叉流階梯狀內襯之一實施例的外部 視圖,其顯示在內襯內的多個排放槽; 圖2 7是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,在流經晶 圓和從排放槽排出之前,先撞擊內襯的內壁; 圖2 8是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,在流經晶 圓和從排放槽排出之前,先相互撞擊; 圖29是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,朝向晶圓 的中心並從排放槽排出; 圖3 0是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有朝向基材中心之噴射 口的噴射系統,用以沉積氮化矽; -41 - 1250586 (38) 圖3 1是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有相互面對之噴射口的 噴射系統,用以沉積氮化矽;和 圖3 2是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有朝向內襯內壁之噴射 口的噴射系統,用以沉積氧化鋁。Figure 20 shows a thermal processing apparatus 230 of one embodiment of the present invention including an injection system 250. In order to simplify the description of the present invention, elements that are not closely related to the present invention are not shown in the drawings and the description. Apparatus 203 typically includes a container 234 that houses a processing chamber 236 having a support base 328 adapted to receive a carrier 240 having a plurality of wafers 242 therein. Apparatus 203 includes a heat source or furnace 2414 to raise wafer 24 to a desired heat treatment temperature. The cross-flow liner 23 2 is used to increase the concentration of the processing gas or vapor near the wafer 2G - 31 - 1250586 (28) and to reduce the deposition or peeling of the wafer formed on the inner surface of the processing chamber 263. Pollution. The shape of the liner 23 2 is designed to fit the contour of the wafer carrier 240 and is sized to reduce the gap between the wafer carrier 240 and the liner wall. The inner liner 232 is mounted on the substrate 246 and sealed, and the cross-flow injection system 250 is disposed between the inner liner 2 3 2 and the wafer carrier 240. The gas is introduced through a plurality of ejection openings or holes 2 5 2 located on the wafer 24 2 and the carrier 240 side, and flows through the surface of the wafer in the following laminar flow. The inner liner 2 3 2 on the opposite side forms a plurality of grooves 2 5 4 to discharge the gas or the by-product after the reaction. The cross-flow injection system 250 includes more than one long injection tube, and Figure 21 shows a long injection tube 256 in accordance with one embodiment of the present invention. As shown, the long spray tube 256 is provided with a plurality of injection ports or holes 25 2 . In one embodiment, the distance between each of the injection ports 252 is such that after assembly of the injection tube, the height of each injection port 252 is between two adjacent wafers supported by the wafer carrier 240. Between 2, the gas ejected from the ejection port 252 flows through the path formed by the adjacent two wafers. In another embodiment, the spacing and number of the respective injection ports or holes 25 of the injection pipe 256 are matched with the interval and number of the grooves 254 of the lining, so excessive gas and reaction by-products are Correspondence of lining;); Cao discharge. The injection system 250 of the present invention may comprise more than one long injection tube 256 as shown in Figure 21. The long spray tube 2 5 6 can be made of any metal, ceramic, crystal, or glass material that can withstand the thermal and mechanical stresses of high temperature, high vacuum operations and is resistant to corrosion by gases and vapors used or released during processing. The spray tube is preferably made of opaque, translucent, or transparent quartz glass. In an embodiment, the spray tube is made of quartz. - 32 - 1250586 (29) Figure 22 is a partial cross-sectional view of the heat treatment apparatus 230, showing the connection of the injection system 250 and the substrate 2 4 6 having the liner 2 3 2 . The long jet tube 256 is coupled to the jet inlet 262 in the substrate 246 and is sealed to the substrate by a serpentine ring 246. As shown in Fig. 23, the 'long shot tube 2 5 6 engages the inner liner 2 3 2 by the holding block 2 6 6 . The small 2 6 8 locks the clamping block 266 to the substrate 246. The reactant or other gas is introduced into the injection tube 256 via the inlet 262. Figure 24 is a partial plan view of the top plate 270 of the liner 232. The top plate 270 has a plurality of openings 272 for receiving more than one spray tube 256. As shown, the opening 2 7 2 of the top plate 270 is provided with a plurality of recesses 2 7 4 to stabilize the long spray tube 2 5 6 and to make the ejection opening 2 5 2 of the gantry 2 5 6 face in a specific direction. The three recesses 274 AC illustrated in each opening 272 are merely illustrative, it being understood that any number of recesses can be provided so that the long jet tube can be rotated and adjusted by three hundred and sixty degrees with respect to one axis, and the jet port 2 58 can be Orient in any direction you want. In one embodiment, the long tube 256 includes a scale pin (not shown) for locking the long tube 256 to the plurality of recesses 2 74 of the opening 272. In another embodiment, the injection port or bore 2 5 2 of the tube 256 is aligned with the scale pin. Therefore, when the long tube 256 is assembled, the scale pin is locked in one of the recesses 247, and the venting opening 252 in the tube 256 is oriented in the direction indicated by the scale pin locked in the recess. For example, when the scale pin in the long tube 256 is locked in the recess 2 7 4 A, the ejection opening 252 faces the inner surface facing the inner liner 232. The gas ejected from the ejection port 252 strikes the inner liner wall and is mixed before flowing through the surface of each of the substrates 242. In another embodiment, when the scale pins in the long tubes 2 6 6 are locked in the recesses 2 7 4 B, the jet ports 2 5 2 of each of the spray tubes 2 5 6 face each other. Spray -33· (30) 1250586 The gas ejected by p 2 5 2 collides with each other and is mixed before flowing through the surface of each substrate. In yet another embodiment, the scale pin in the long tube 256 is locked within the recess 2 7 4 C, so the jet port 252 is directed toward the center of the substrate 2 4 2 . The number of depressions formed in the opening can be as much as the desired number, so that the long tube 2 5 6 can be rotated by three hundred and sixty degrees and stabilized at the desired position, so that the injection port 2 5 2 can be oriented toward the The direction of desire. The injection system of the present invention provides sufficient rotational freedom for the injection port to enhance the momentum transfer of the impact mixing of the gas, which may vary in different methods. The orientation of the jet or hole that affects the mixing and flow direction of the gas can be adjusted in a run-to-run manner without modifying the chamber. In one embodiment, the invention is used in conjunction with a cross-flow liner having a protruding section. The U.S. Patent Application Serial No. (Attorney Serial No. 33586/US/1), which is incorporated herein by reference, is hereby incorporated by reference. Figures 25-26 show a crossflow liner 276 that can be used with the spray system 250 of the present invention. As shown, the cross-flow liner 276 includes a cylinder 2 7 8 having a closed end 28 0 0 and an open end 2 8 2 . The cylinder 278 is provided with a longitudinally projecting section 2 84 for receiving the cross-flow injection system 250. On the opposite side of the projecting section 284, the cylinder 278 is provided with a plurality of transverse grooves 286 arranged longitudinally to vent gases and reaction by-products. The cross-flow liner 276 is sized and shaped to fit the profile of the wafer carrier 240 and the carrier support 238. In one embodiment, the liner 276 includes a first section 28 8 that is sized to fit the wafer carrier 240 and a second section 2 9 尺寸 that is sized to support the carrier support 2 3 8 . The diameters of the first paragraph 2 8 8 and the -34 - 1250586 (31) two sections 290 may be different, that is, the inner lining 276 may be stepped to fit the wafer carrier 240 and the vehicle support respectively. Block 2 3 8. In one embodiment, the first section 286 of the liner 276 has an inner diameter of from about 1% to about 1% of the outer diameter of the carrier. In another embodiment, the second section 690 of the inner liner 276 has an inner diameter of from about 1 1 5 % to about 1 2 0 % of the outer diameter of the carrier support 2 3 8 . The second section 290 may be provided with more than one thermal shield 246 to protect the seal of the Ο-type ring from overheating by the heating element. A cross-flow liner 274 having a longitudinally projecting section 284 can be fabricated in accordance with the profile of the wafer carrier 240 to reduce the gap between the liner 276 and the wafer carrier 24. This helps to reduce eddies and stagnation in the area of the gap between the inner wall of the liner and the wafer carrier, and thus improves flow uniformity, which can improve the quality, uniformity and repeatability of the deposited film. In an embodiment shown in Fig. 27, two long spray tubes 256 are assembled within the projecting section 284 of the crossflow liner 276. The long tube 256' is rotated and adjusted so that the ejection opening 252 faces the inner surface of the inner liner 276. As shown in Fig. 27, the gas ejected from the ejection port 252 hits the inner liner wall and is mixed before the projection 284 before flowing through the surface of each of the substrates 242. In another embodiment shown in Fig. 28, the two long tubes 256 are rotated and adjusted so that the ejection openings 252 face each other. As shown in Fig. 28, the gases ejected from the ejection openings 252 collide with each other and are merged prior to the projections 284 before flowing through the surface of each of the substrates 242. In still another embodiment shown in Fig. 29, the two long tubes 256 are rotated and adjusted so that the ejection openings 255 are directed toward the center of the substrate 242. The following examples are intended to further illustrate the invention and are not intended to limit the scope of the invention in any form. -35 > 1250586 (32) Example 1 This example illustrates the deposition of tantalum nitride using monochloromethane (D C S ) and ammonia gas, which is performed in a heat treatment apparatus including an injection system of the present invention. The injection system includes a first injection tube for introducing methane gas and a second injection tube for introducing ammonia gas. Each of the first and second spray tubes is provided with a plurality of ports or holes' to direct gas flow through the surface of each substrate. In one variation, the long tubes are rotated and adjusted such that the spray ports face the inner surface of the liner. The methylene chloride and ammonia gas ejected from the ejection openings are then moved away from the wafer and hit the inner surface of the inner liner. In another variation, the long tube is rotated and adjusted so that the ejection opening faces the center of the substrate. The methylene chloride and ammonia gas ejected from the ejection openings flow through the surface of each of the substrates. Figure 30 is an illustration of computational fluid dynamics showing a uniform flow of methylene chloride and ammonia in the ejector configuration through the surface of the substrate. The jetting orifice of the injector faces the center of the substrate creating a radially inward flow of gas. In this case, the difference in mass between methylene chloride and ammonia is small (D C S II 1 01, NH3 = 17), so the gas velocity is similar. EXAMPLE 2 This example illustrates the deposition of tantalum nitride using di-tert-butylaminodecane (BTBAS; bis t e r t b u t y) a m i η s s i 1 a n e ) and ammonia, which is performed in a heat treatment apparatus including an injection system of the present invention. The injection system -36-1250586 (33) includes a first injection pipe for introducing dit-butylaminodecane gas, and a second injection pipe for introducing ammonia gas. Each of the first and second injection tubes is provided with a plurality of ports or holes to direct the flow of gas through the surface of each substrate. In a variant, the long tube is rotated and adjusted so that the injection opening faces the inner surface of the liner. The di-tert-butylaminodecane and ammonia gas ejected from the ejection openings are moved away from the wafer and hit the inner surface of the liner before flowing through the surface of each substrate. In another variation, the long tube is rotated and adjusted so that the ejection opening faces the center of the substrate. The di-tert-butylaminodecane and ammonia gas ejected from the ejection openings are struck and mixed before flowing through the surface of each substrate. Figure 31 is an illustration of computational fluid dynamics showing a uniform flow of di-tert-butylaminodecane and ammonia in the ejector configuration through the surface of the substrate. The jets of the injector face each other, creating a converging gas flow. In this case, di-tert-butylaminodecane has a molecular weight of 1 74 and ammonia has a molecular weight of 17 . Rewinding and mixing of di-tert-butylaminodecane and ammonia to ensure a uniform gas velocity as the gas flows through the wafer and results in an additional wafer of less than 1.5% (1 sigma) on a 300 mm wafer Internal uniformity. Example 3 This example does not use dimethyl ester (TMA; 11. i in ethy I a 1 uminum ) and ozone (〇 3 ) alumina (A] 2 〇 3 ) deposition, which is included in the invention. Execution within the heat treatment unit of the injection system. The injection system includes a first injection pipe for introducing trimethylaluminum gas, and a second injection pipe for introducing ozone gas. Each of the first and second injection tubes is provided with a plurality of ports or -37-(34) 1250586 holes' to direct gas flow through the surface of each substrate. In a variant, the long tube is rotated and adjusted so that the injection opening faces the inner surface of the liner. The trimethylaluminum and ozone gases ejected from the ejection openings are far from the wafer and strike the inner liner wall before flowing through the surface of each substrate. In another variation, the long tubes are rotated and adjusted so that the ejection openings face each other. The trimethylaluminum and ozone gas ejected from the ejection openings are struck and mixed before flowing through the surface of each substrate. Figure 3 2 is an illustration of computational fluid dynamics showing a uniform flow of trimethylaluminum and ozone gas within the ejector configuration through the surface of the substrate. The injection port of the injector faces the liner wall creating a radially outward flow of gas. The rewinding and mixing of trimethylaluminum and ozone ensures a uniform gas velocity as the gas flows through the surface of each wafer. The above description of the specific embodiments and examples of the invention has been presented for purposes of illustration and description only, and the invention The above examples are not intended to be exhaustive or to limit the precise mode of the invention disclosed, and many modifications, improvements and variations are possible within the scope of the invention. The scope of the invention is intended to be embraced by the scope of the appended claims and their equivalents. BRIEF DESCRIPTION OF THE DRAWINGS These and various other features and advantages of the present invention will become more apparent from the aspects of the appended claims. - 38 ~ (35) 1250586 Figure 1 is a cross-sectional view of an embodiment of a heat treatment apparatus having a base heater of the present invention, which provides an isothermal control volume and uses a conventional upward flow design; Figure 2 is applicable 1 is a perspective view of a substrate embodiment in a heat treatment apparatus shown in FIG. 1. FIG. 3 is a partial cross-sectional view of a heat treatment apparatus according to an embodiment of the present invention, having a base heater and a heat shield; FIG. 4 is an embodiment of the present invention. 5 is an illustration of a base heater and a heat shield shown in FIG. 3; FIG. 5 is an illustration of an embodiment of the heat shield of the present invention, including an upper layer material having high absorbency and a lower layer material having high reflectivity. Figure 6 is an illustration of one embodiment of a thermal shield of the present invention having a cooling flow passage; Figure 7 is a perspective view of one embodiment of the thermal shield and actuator of the present invention; Figure 8 is a heat treatment apparatus of the present invention; A partial cross-sectional view of one embodiment having a spacer; FIG. 9 is a cross-sectional view of an embodiment of a processing chamber of the present invention having a base heater and a magnetically coupled wafer rotating system; FIG. 10 is a heat treatment apparatus of the present invention One A cross-sectional view of an embodiment having a parent fork flow injection system; FIG. 11 is a partial side cross-sectional view of one embodiment of the heat treatment apparatus of the present invention as shown in FIG. 1 , showing the position of the injector bore relative to the liner and The position of the discharge groove relative to the wafer; FIG. 12 is a partial plan view of the heat treatment device of the present invention taken along line A-A of FIG. 10, a real-39-1250586 (36) embodiment, which is not visible from the first injector and The flow of gas ejected from the holes of the second injector passes through the wafer to the discharge port; FIG. 13 is a partial plan view of another embodiment of the heat treatment apparatus of the A-A line of FIG. 1 of the present invention, showing the first injector And a flow of gas ejected from the holes of the second injector through the wafer to the discharge port; FIG. 14 is a partial plan view of a further embodiment of the heat treatment apparatus of the invention along the line A-A of FIG. The flow of gas ejected from the holes of the second injector and through the wafer to the discharge port; FIG. 15 is a partial plan view of the heat treatment device of the invention along the a-A line of FIG. The gas flow from the orifice of the injector and the second injector passes through Circular to discharge port; is an embodiment of the present invention; Figure 16 is a cross-sectional view of one embodiment of the heat treatment apparatus of the present invention, having another upward flow injection system; Figure 17 is a cross-sectional view of one embodiment of the heat treatment apparatus of the present invention There is another downflow injection system; FIG. 18 is a flow chart of an embodiment of the method for heat treating a batch of wafers of the present invention, whereby each wafer in the batch is heated quickly and uniformly Figure 19 is a flow diagram of another embodiment of the method of the present invention for heat treating a batch of wafers whereby each wafer in the batch is rapidly and uniformly heated to the desired Figure 20 is a cross-sectional view of one embodiment of the heat treatment apparatus of the present invention having an injection system; -40-(37) 1250586 Figure 21 is an embodiment of the long tube of the present invention having a plurality of injection ports Figure 2 is a partial cross-sectional side view showing an embodiment of the heat treatment apparatus of the present invention, showing the connection of the injection system having the cross-flow liner and the substrate; Figure 23 is a partial cross-sectional top view of an embodiment of the heat treatment apparatus of the present invention , It shows the connection of the injection system with the cross-flow liner and the substrate; Figure 24 is a partial plan view of the interior liner of the interior showing the opening with depressions; Figure 25 is an embodiment of the cross-flow stepped liner of the present invention External view showing a longitudinally projecting section; Figure 26 is an external view of one embodiment of the cross-flow stepped liner of the present invention showing a plurality of discharge slots in the liner; Figure 27 is a representation of the present invention A plan view of an embodiment of an injection system for a cross-flow liner of a segment showing the flow of gas ejected from the aperture, impinging upon the inner wall of the liner prior to flowing through the wafer and exiting the discharge slot; Figure 28 is the present invention A plan view of an embodiment of an injection system having a cross-flow liner of protruding segments, showing the flow of gas ejected from the holes, colliding with each other before flowing through the wafer and discharging from the discharge trough; FIG. 29 is a protruding section of the present invention A plan view of an embodiment of an injection system for a cross-flow liner showing gas flow ejected from a hole toward a center of the wafer and discharged from the discharge cell; FIG. 30 is an embodiment of the heat treatment apparatus of the present invention For example, the heat treatment apparatus includes an injection system having an injection port toward the center of the substrate for depositing tantalum nitride; -41 - 1250586 (38) FIG. 31 is an embodiment of the heat treatment apparatus of the present invention. An illustration of computational fluid dynamics including an injection system having mutually facing injection ports for depositing tantalum nitride; and FIG. 32 is an illustration of computational fluid dynamics of one embodiment of the heat treatment apparatus of the present invention, The heat treatment apparatus includes an injection system having an injection port facing the inner wall of the inner liner for depositing alumina.

【主要元件符號說明】[Main component symbol description]

100 熱處理裝置 10 1 容器 102 處理室 1 04 支撐座 106 載具(晶舟) 108 晶圓 110 熱源(爐) 1 12〜1 12-3 加熱元件 114 熱電耦 116 噴射器 116 噴射器 1 1 6A 噴射管 118 通口 120 內襯 120 處理室內襯 12 1 排放口(槽) -42- 1250586(39) 122 〇型環 1 24 基板 126 前級管道(排放口) 1 27 空腔 1 28 區域(處理區) 129 流道 13 0 底座 13 1 環 13 3 上凸緣 134 頂部 13 5 側壁 13 6 側部 13 7 底部 13 8 底咅β 139 、 143 回塡/淸洗氣體入口 140 絕緣塊 14 1 熱電耦 142 坩堝 144 通道 145 、 147 冷卻口 1 46 熱遮罩 148 臂 149 壓力監控口 15 0 旋轉軸100 Heat treatment unit 10 1 Container 102 Processing chamber 10 04 Support base 106 Carrier (boat) 108 Wafer 110 Heat source (furnace) 1 12~1 12-3 Heating element 114 Thermocouple 116 Injector 116 Injector 1 1 6A Spray Tube 118 port 120 lining 120 treatment of the inner lining 12 1 vent (groove) -42- 1250586 (39) 122 〇 ring 1 24 substrate 126 front pipe (discharge port) 1 27 cavity 1 28 area (handling Zone) 129 Runner 13 0 Base 13 1 Ring 13 3 Upper flange 134 Top 13 5 Side wall 13 6 Side 13 7 Bottom 13 8 Bottom 咅 139 , 143 Back / rinsing gas inlet 140 Insulation block 14 1 Thermocouple 142 坩埚 144 Channel 145, 147 Cooling port 1 46 Thermal mask 148 Arm 149 Pressure monitoring port 15 0 Rotary axis

-43- 1250586 (40) 151、 161 處理氣體入口 1 52 上層 153 熱遮罩組合體 1 54 下層 15 5 致動器 15 6 冷卻流道 15 8 隔離板 1 62 晶圓旋轉系統 164 旋轉機構 166 旋轉馬達 168 磁鐵 170 鋼環 1 72 驅動軸 174 磁鐵 176 磁鐵 180-2 孔 18 0-1 孔 1 80 孔 182-2 槽 182-1 槽 1 82 排放口(槽) 1 84 第一噴射器 1 86 第二噴射器 2 16-] 交叉流噴射器-43- 1250586 (40) 151, 161 Process gas inlet 1 52 Upper layer 153 Thermal mask assembly 1 54 Lower layer 15 5 Actuator 15 6 Cooling runner 15 8 Isolation plate 1 62 Wafer rotation system 164 Rotating mechanism 166 Rotating Motor 168 Magnet 170 Steel ring 1 72 Drive shaft 174 Magnet 176 Magnet 180-2 Hole 18 0-1 Hole 1 80 Hole 182-2 Slot 182-1 Slot 1 82 Discharge port (slot) 1 84 First ejector 1 86 Two injectors 2 16-] cross flow injector

-44- 1250586 (41) 23 0 熱處理裝置 232 交叉流內襯 234 容器 23 6 處理室 23 8 支撐座 240 載具 242 晶圓 244 爐 246 基板 250 交叉流噴射系統 252 噴射口或孔 254 (橫向)槽 256 長噴射管 262 噴射入口 264 0型環 2 6 6 夾持塊 268 鎖銷 27 0 頂板 272 開口 274A〜274C 凹陷 276 父叉流內襯 27 8 圓筒 280 封閉端 282 開口端-44- 1250586 (41) 23 0 Heat treatment unit 232 Cross flow liner 234 Container 23 6 Processing chamber 23 8 Support base 240 Carrier 242 Wafer 244 Furnace 246 Substrate 250 Crossflow injection system 252 Injection port or hole 254 (lateral) Slot 256 long spray pipe 262 injection inlet 264 0 ring 2 6 6 clamping block 268 lock pin 27 0 top plate 272 opening 274A~274C recess 276 parent fork flow lining 27 8 cylinder 280 closed end 282 open end

-45 1250586 (42) 284 哭出段 288 第一段 290 第二段-45 1250586 (42) 284 Cry out section 288 First paragraph 290 Second paragraph

-46 --46 -

Claims (1)

1250586 (1) 十、申請專利範圍 1·-種適於熱處理支撐在一載具內之複數基材的裝置 ’該裝置包含用以引導反應劑和其他氣體流經每一基材之 表面的一交叉流噴射系統,該交叉流噴射系統包含一或更 多長管’每一該長管可相對一軸做旋轉,且每一長管設有 複數噴射口。 2 ·如申請專利範圍第】項所述的裝置,其中該複數噴 射口成型在一直線上,且縱向分布在該一或更多長管中。 3 ·如申請專利範圍第1項所述的裝置,其中該一或更 多長管可相對一軸旋轉三百六十度。 4 ·如申請專利範圍第1項所述的裝置,更包含包覆該 載具的一交叉流內襯,其中該交叉流噴射系統設於該內襯 和該載具之間,且該交叉流噴射系統可旋轉三百六十度。 5 ·如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口朝向面對該內襯,致從該等噴 射口流出的氣體,在經過每一基材的該表面之前,先撞擊 該內襯。 6 .如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口相互面對,致從該等噴射口流 出的氣體,在經過該基材之前,先相互撞擊。 7 ·如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口朝向面對每一基材的中心。 8 .如申請專利範圍第4項所述的裝置,其中該交叉流 內襯包含具有一封閉端和一開口端的一圓筒,該圓筒設有 -47- (2〉 1250586 一縱向突出段,且該交叉流噴射系統容置在該突出段內。 9 .如申請專利範圍第8項所述的裝置’其中該交叉流 噴射系統包含容置在該突出段內的一或更多長管。 1 〇 .如申請專利範圍第9項所述的裝置’其中該開口 端設有二開口,以谷置該等長管。 1 1 .如申請專利範圍第1 0項所述的裝置,其中該開口 端設有複數凹陷,以使該等噴射口朝向一預定方向。 1 2 . —種用以熱處理固持在一載具內之複數基材的裝 置,該裝置包含: 一處理室,其提供給該等基材一處理區域; 一交叉流內襯,其包覆固持在該載具內的該等基材; 和 一交叉流噴射系統,其設於該載具和該交叉流內襯之 間,以引導一或更多氣體流經每一基材的該表面,該交叉 流噴射系統包含一或更多長管,每一該長管可相對一軸做 旋轉,且每一長管設有複數噴射口。 1 3 .如申請專利範圍第1 2項所述的裝置,其中該處理 室的尺寸設計成可處理1至1 〇 0片基材。 1 4 .如申請專利範圍第1 2項所述的裝置,其中該交叉 流噴射系統包含一第一長管和一第二長管,每一長管設有 形成在一線上且縱向分布在該管中的複數噴射口,其中每 一長噴射管可相對一軸做三百六十度旋轉。 ]5 .如申請專利範圍第1 4項所述的裝置,其中該交叉 流內襯包含具有一封閉端和一開口端的一圓筒,該圓筒設 -48 - 1250586 (3) 有一縱向突出段,以容置該第一和第二長噴射管。 1 6 .如申請專利範圍第1 5項所述的裝置,其中該封閉 端設有開口,以容置該第一和第二長噴射管。 1 7 .如申請專利範圍第1 6項所述的裝置,其中該等開 口設有複數凹陷,且每一噴射管設有鎖固在一凹陷內的一 刻度銷,以使該第一和第二長噴射管中的該等噴射口,朝 向一預定方向。 1 8 .如申請專利範圍第1 2項所述的裝置,其中該交叉 流內襯的形狀和尺寸可適合於該載具,且該交叉流內襯的 內徑約爲該等基材之直徑的104%至1 10% 。 1 9 .如申請專利範圍第1 8項所述的裝置,其中該交叉 流內襯設有複數槽,該等槽配合複數噴射口,用以排放氣 體。1250586 (1) X. Patent Application Scope - A device suitable for heat treating a plurality of substrates supported in a carrier. The device includes a surface for directing reactants and other gases through the surface of each substrate. A cross-flow injection system comprising one or more long tubes 'each of the long tubes being rotatable relative to an axis, and each long tube being provided with a plurality of injection ports. 2. The device of claim 5, wherein the plurality of spray ports are formed in a straight line and are longitudinally distributed in the one or more long tubes. 3. The device of claim 1, wherein the one or more long tubes are rotatable by three hundred and sixty degrees with respect to one axis. 4. The device of claim 1, further comprising a crossflow liner covering the carrier, wherein the crossflow injection system is disposed between the liner and the carrier, and the crossflow The injection system can be rotated by three hundred and sixty degrees. 5. The apparatus of claim 4, wherein the one or more long tubes are rotated such that the ejection openings face the lining, and gas flowing from the ejection openings passes through each The liner is struck prior to the surface of the substrate. 6. The device of claim 4, wherein the one or more long tubes are rotated such that the ejection openings face each other such that gas flowing from the ejection openings passes through the substrate before passing through the substrate. First hit each other. The device of claim 4, wherein the one or more long tubes are rotated such that the ejection openings face toward the center of each substrate. 8. The device of claim 4, wherein the crossflow liner comprises a cylinder having a closed end and an open end, the cylinder being provided with a -47- (2> 1250586 a longitudinal projection, and The cross-flow injection system is housed in the protruding section. 9. The apparatus of claim 8 wherein the cross-flow injection system comprises one or more long tubes housed within the protruding section. The apparatus of claim 9, wherein the open end is provided with two openings for arranging the long tubes. The apparatus of claim 10, wherein the opening The end is provided with a plurality of recesses for directing the ejection openings toward a predetermined direction. 1 2 . A device for heat treating a plurality of substrates held in a carrier, the device comprising: a processing chamber provided for the a substrate-processing region; a cross-flow liner lining the substrates held within the carrier; and a cross-flow jetting system disposed between the carrier and the cross-flow liner To direct one or more gases through the surface of each substrate The cross-flow injection system comprises one or more long tubes, each of which can be rotated relative to a shaft, and each of the long tubes is provided with a plurality of injection ports. 1 3. The device according to claim 12 The processing chamber is sized to handle 1 to 1 〇 0 substrate. The device of claim 12, wherein the cross-flow injection system comprises a first long tube and a a second long tube, each long tube is provided with a plurality of injection ports formed on a line and longitudinally distributed in the tube, wherein each long injection tube can be rotated by three hundred and sixty degrees with respect to one axis. The device of claim 14, wherein the cross-flow lining comprises a cylinder having a closed end and an open end, the cylinder having a longitudinal projection of -48 - 1250586 (3) for receiving the first The apparatus of claim 15, wherein the closed end is provided with an opening for receiving the first and second long spray tubes. The device of item 16, wherein the openings are provided with a plurality of depressions, And each of the spray tubes is provided with a scale pin locked in a recess such that the spray openings in the first and second long spray tubes are oriented in a predetermined direction. The device of claim 2, wherein the cross-flow liner is shaped and sized to fit the carrier, and the inner diameter of the cross-flow liner is from about 104% to about 10% of the diameter of the substrates. 9. The device of claim 18, wherein the cross-flow liner is provided with a plurality of grooves that cooperate with a plurality of injection ports for discharging gas. - 49 -- 49 -
TW093128909A 2003-09-25 2004-09-23 Thermal processing system with cross flow injection system with rotatable injectors TWI250586B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50635403P 2003-09-25 2003-09-25
US10/946,849 US20050121145A1 (en) 2003-09-25 2004-09-21 Thermal processing system with cross flow injection system with rotatable injectors

Publications (2)

Publication Number Publication Date
TW200531174A TW200531174A (en) 2005-09-16
TWI250586B true TWI250586B (en) 2006-03-01

Family

ID=34396311

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093128909A TWI250586B (en) 2003-09-25 2004-09-23 Thermal processing system with cross flow injection system with rotatable injectors

Country Status (5)

Country Link
US (1) US20050121145A1 (en)
EP (1) EP1676294A4 (en)
JP (1) JP2007515054A (en)
TW (1) TWI250586B (en)
WO (1) WO2005031803A2 (en)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP2006229040A (en) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd Method and apparatus for heat treatment
JP4426518B2 (en) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 Processing equipment
JP2007189077A (en) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd Heat treatment apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5211464B2 (en) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 Oxidizer for workpiece
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (en) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
KR101528832B1 (en) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101364701B1 (en) * 2011-11-17 2014-02-20 주식회사 유진테크 Apparatus for processing substrate with process gas having phase difference
JP5792390B2 (en) * 2012-07-30 2015-10-14 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (en) * 2015-08-04 2018-01-11 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
JP6925214B2 (en) 2017-09-22 2021-08-25 東京エレクトロン株式会社 Substrate processing method and substrate processing equipment
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
TW202146831A (en) * 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP2021177545A (en) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing substrates
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7315607B2 (en) * 2021-03-16 2023-07-26 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022002761A1 (en) * 2022-07-29 2024-02-01 centrotherm international AG Device for the thermal treatment of substrates, especially semiconductor wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (en) * 1981-07-24 1983-02-02 Fujitsu Ltd Chemical vapor growth method
JPS5972721A (en) * 1982-10-20 1984-04-24 Toshiba Corp Vapor phase growth device
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
DE3540628C2 (en) * 1984-11-16 1994-09-29 Sony Corp Making an epitaxial film by chemical vapor deposition
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
JP2683671B2 (en) * 1988-06-27 1997-12-03 東京エレクトロン株式会社 Film forming method and film forming apparatus on semiconductor substrate
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber

Also Published As

Publication number Publication date
TW200531174A (en) 2005-09-16
WO2005031803A9 (en) 2005-05-26
JP2007515054A (en) 2007-06-07
WO2005031803A2 (en) 2005-04-07
US20050121145A1 (en) 2005-06-09
WO2005031803A3 (en) 2006-12-21
EP1676294A2 (en) 2006-07-05
EP1676294A4 (en) 2007-10-31

Similar Documents

Publication Publication Date Title
TWI250586B (en) Thermal processing system with cross flow injection system with rotatable injectors
US20050098107A1 (en) Thermal processing system with cross-flow liner
TW200416773A (en) Thermal processing system and configurable vertical chamber
JP3252960B2 (en) Semiconductor thin film deposition equipment for atomic layer epitaxy process
US9631277B2 (en) Atomic layer deposition carousel with continuous rotation and methods of use
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
KR20070090117A (en) Thermal processing system with across-flow liner
JP5544669B2 (en) Gas injection unit and thin film deposition apparatus and method using the same
TW201044484A (en) Substrate processing apparatus
KR20150090851A (en) Film deposition apparatus
US20160021702A1 (en) Apparatus and method for treating substrate
US20220157645A1 (en) Support unit and apparatus for treating substrate
JP2008103707A (en) Substrate processor and method for manufacturing semiconductor device
KR20040091784A (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
JP2004052098A (en) Substrate treatment apparatus and susceptor used for it
JP3501768B2 (en) Substrate heat treatment apparatus and method of manufacturing flat panel device
KR101324208B1 (en) Substrate processing apparatue
JP3764357B2 (en) Heat treatment device
JP2004055880A (en) Substrate processing device
KR20050020757A (en) Thermal processing system and configurable vertical chamber
KR100651631B1 (en) Rtcvd chamber which can improve uniformity of film deposition
JP2004311550A (en) Substrate processing device
KR20230166386A (en) Apparatus for processing substrate and method for processing substrate using the same
WO2023201163A1 (en) Selective oxide etch using liquid precursor
JP2001326219A (en) Substrate processing device and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees