CN101488449A - 包括汽化器的半导体处理系统及其使用方法 - Google Patents

包括汽化器的半导体处理系统及其使用方法 Download PDF

Info

Publication number
CN101488449A
CN101488449A CNA2009100012830A CN200910001283A CN101488449A CN 101488449 A CN101488449 A CN 101488449A CN A2009100012830 A CNA2009100012830 A CN A2009100012830A CN 200910001283 A CN200910001283 A CN 200910001283A CN 101488449 A CN101488449 A CN 101488449A
Authority
CN
China
Prior art keywords
pressure
vaporizer
charging stock
liquid charging
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100012830A
Other languages
English (en)
Other versions
CN101488449B (zh
Inventor
冈部庸之
加藤寿
平贺润哉
菊地宏之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101488449A publication Critical patent/CN101488449A/zh
Application granted granted Critical
Publication of CN101488449B publication Critical patent/CN101488449B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种包括半导体处理装置和对所述半导体处理装置供给处理气体的气体供给装置的半导体处理系统,其包括控制用于调整汽化室内的压力的压力调整机构的动作的控制部。控制部按照以下方式预先设定,即根据压力检测部的压力检测值将汽化室内的压力收敛在规定的压力范围内。规定的压力范围由上限值和下限值规定,所述上限值设定为比由于压力的上升而阻碍液体原料的汽化的第一界限值低,所述下限值设定为比由于压力的下降而液体原料的汽化变得不稳定汽化室内的压力开始脉动的第二界限值高。

Description

包括汽化器的半导体处理系统及其使用方法
技术领域
本发明涉及包括从液体原料得到处理气体的汽化器的半导体处理系统,例如涉及包括进行例如ALD(Atomic Layer Deposition:原子层沉淀)、MLD(Molecule Layer Deposition:分子层沉淀)或减压CVD(Chemical Vapor Deposition:化学气相沉淀)等的成膜处理装置的系统。在此,所谓半导体处理是指在晶片或LCD(Liquid Crystal Display:液晶显示器)等FPD(Flat Panel Display:平板显示器)用的玻璃基板等的被处理基板上以规定的图案形成半导体层、绝缘层、导电层等,从而在该被处理基板上制造包括半导体器件、与半导体器件连接的配线、电极等的结构物而实施的各种处理。
背景技术
在半导体器件的制造中,进行在半导体晶片W的表面上形成规定的膜的成膜处理。该处理例如使用减压CVD(Chemical VaporDeposition:化学气相沉淀)装置进行。该减压CVD装置以气体状态供给原料,使化学反应进行,在晶片表面堆积薄膜。在这种装置中,存在以使液体原料汽化而得的处理气体作为成膜气体导入处理室内的情况。作为该液体原料的汽化方法,已知例如设置运载气体供给通路使得以同心圆状包围液体原料供给通路的结构。从配设在这些供给通路的下端部的喷嘴喷出液体原料和运载气体,对利用所谓喷雾作用而雾化的液体原料加热使其汽化。
在这样的喷雾嘴方式中,需要使汽化室内部的压力低于液体原料汽化的压力的界限值,现有技术中汽化室内部的压力越低越好。另一方面,研究过半导体器件使用高电介体薄膜,使Sr(THD)2双(四甲基庚二酮酸)锶、Ti(MPD)(THD)2、(甲基酰丙酮酸)双(四甲基庚二酮酸)钛等固体材料、Sr(METHD)2双(甲氧基乙氧基四甲基庚二酮酸)锶等高粘度液体源溶解在溶剂中而得的液体原料汽化。使这样的液体原料汽化的情况下,如果液体原料的供给量少,则汽化室内部的压力变得过低,由此可能会导致在喷嘴前端部仅使作为溶媒的溶剂先行沸腾。这种情况下,液体原料的溶质在喷嘴前端部析出,造成喷嘴的堵塞或喷雾异常,因汽化效率恶化导致残渣增加,成为晶片颗粒污染的要因。
现有技术中,在包括使用这种汽化器的气体供给装置的半导体处理系统中,气体供给装置的结构和使用条件以适合于液体原料的方式预先设定,汽化器没有构成为相对于半导体处理装置独立地被控制压力的结构。但是为了应对伴随着采用上述那样的新的液体原料而产生的问题,提出有利用压力传感器监视汽化室内部的压力的结构(日本特开2002—324794号公报(引用文献1:5页段落2、图1))。根据引用文献1记载的发明,利用压力传感器监视汽化室内部的压力,若检测出因喷嘴前端部内的堵塞的进展而使汽化室内部的压力降低,则停止供给液体原料而中断成膜处理。并且,通过使比液体原料的溶媒的汽化温度高的溶媒流入导入管,而能够抑制导入管内部的干燥并溶解由于汽化室内部的压力低下而析出的液体原料的有机金属,净化导入管内部。由此,能够防止导入管的前端部完全被堵塞,不需要浪费更换导入管时需要的大约两天的工作时间,能够提高生产性。
但是,在引用文献1记载的发明中,汽化室内部的压力降低在成膜工艺中发生时,则至此已进行成膜处理的基板没有完成成膜处理。另外由于汽化室内部的压力降低而析出的有机金属等有可能会导致颗粒污染,所以该基板被废弃。因此,在引用文献1记载的发明中,虽然能够以汽化室内部的压力降低时导入管没有完全堵塞的方式安全地使装置停止,但是之后成膜处理中的基板的废弃和重新设置基板这样的工序是必要的。
发明内容
本发明的目的在于提供通过防止汽化器的喷嘴闭塞,从而能够不使成膜处理等的半导体处理停止并且稳定进行的、包括用于从液体原料得到处理气体的汽化器的半导体处理系统及其使用方法。
本发明的第一观点提供一种半导体处理系统,其包括半导体处理装置和对上述半导体处理装置供给处理气体的气体供给装置,其特征在于:上述半导体处理装置包括:收纳被处理基板的处理室;在上述处理室内支撑上述被处理基板的支撑部件;加热上述处理室内的上述被处理基板的加热器;和对上述处理室内进行排气的排气系统,上述气体供给装置包括:形成用于汽化液体原料的汽化室的容器;通过运载气体将上述液体原料雾化并供给到上述汽化室内的喷嘴;对上述喷嘴供给上述液体原料的液体原料供给通路;对上述喷嘴供给上述运载气体的运载气体供给通路;为了将上述被雾化的液体原料汽化生成上述处理气体,对上述汽化室内加热的加热器,用于将上述处理气体从上述汽化室内供给到上述处理室的气体供给通路;用于检测上述汽化室内的压力的压力检测部;用于调整上述汽化室内的压力的压力调整机构;和控制上述压力调整机构的动作的控制部,其中,上述控制部按照以下方式预先设定,即根据上述压力检测部的压力检测值控制上述压力调整机构的动作,使上述汽化室内的压力收敛在规定的压力范围内;上述规定的压力范围由上限值和下限值规定,上述上限值设定为比由于上述压力的上升而阻碍上述液体原料的汽化的第一界限值低,上述下限值设定为比由于上述压力的下降而上述液体原料的汽化变得不稳定从而上述汽化室内的压力开始脉动的第二界限值高。
本发明的第二观点提供一种半导体处理系统的使用方法,上述半导体处理系统包括半导体处理装置和对上述半导体处理装置供给处理气体的气体供给装置,其特征在于:上述半导体处理装置包括:收纳被处理基板的处理室;在上述处理室内支撑上述被处理基板的支撑部件;加热上述处理室内的上述被处理基板的加热器;和对上述处理室内进行排气的排气系统,上述气体供给装置包括:形成用于汽化液体原料的汽化室的容器;通过运载气体将上述液体原料雾化并供给到上述汽化室内的喷嘴;对上述喷嘴供给上述液体原料的液体原料供给通路;对上述喷嘴供给上述运载气体的运载气体供给通路;为了将上述被雾化的液体原料汽化生成上述处理气体,对上述汽化室内加热的加热器,用于将上述处理气体从上述汽化室内供给到上述处理室的气体供给通路;用于检测上述汽化室内的压力的压力检测部;和用于调整上述汽化室内的压力的压力调整机构;上述方法包括:求出由于上述汽化室内的压力的上升而阻碍上述液体原料的汽化的第一界限值的工序;求出由于上述压力的下降而使上述液体原料的汽化变得不稳定,从而上述汽化室内的压力开始脉动的第二界限值的工序;决定由设定为比上述第一界限值低的上限值和设定为比上述第二界限值高的下限值规定的规定压力范围的工序;和根据上述压力检测部的压力检测值,控制上述压力调整机构的动作,使上述汽化室内的压力收敛在上述规定压力范围内的工序。
附图说明
图1是概略表示本发明的第一实施方式的包括半导体处理装置和气体供给装置的半导体处理系统(成膜系统)的结构图。
图2是表示在图1所示的系统的气体供给装置中使用的汽化器的纵剖面图。
图3是表示图2所示的汽化器的汽化室内的变化的图。
图4是概略表示本发明的第二实施方式的半导体处理系统的结构图。
图5是概略表示本发明的第三实施方式的半导体处理系统的结构图。
具体实施方式
以下,参照附图说明本发明的实施方式。另外,以下的说明中,关于具有大致相同的功能和结构的结构要素,标注相同的符号,重复说明仅在必要的情况下进行。
<第一实施方式>
图1是概略表示本发明的第一实施方式的包括半导体处理装置和气体供给装置的半导体处理系统(成膜系统)的结构图。图2是表示在图1所示的系统的气体供给装置中使用的汽化器的纵剖面图。如图1所示,本实施方式的成膜系统1具有用于例如对半导体晶片(以下也称为晶片)等被处理基板进行规定的成膜处理的成膜处理装置(半导体处理装置)10、和用于对成膜处理装置10供给规定的处理气体的气体供给装置20。
成膜处理装置10具备例如具有作为反应室(处理室)的立式反应管11的分批(batch)式减压CVD装置。能够对反应管11内搬出搬入搭载有多枚晶片W的晶舟12。晶片W通过设置于反应管11的外侧的加热器13被加热。反应管11内通过作为真空排气机构的真空泵15维持为规定的真空度。为了对晶片进行规定的成膜处理,从后述的处理气体供给管30对反应管11供给规定的处理气体。
气体供给装置20包括储存液体原料和用于液体原料的溶剂的储存部21、用于汽化从储存部21供给的液体原料的汽化器200和连接它们的配管系统。
储存部21包括用于储存相互不同的液体原料的第一储存槽21a与第二储存槽21b和储存用于液体原料中的溶剂的第三储存槽21c。第一储存槽21a内的液体原料例如是利用THF(四氢呋喃)溶剂溶解作为固体材料的Sr(THD)2而得的液体原料。第二储存槽21b内的液体原料例如是利用溶剂溶解Ti(MPD)(THD)2而得的液体原料。这些液体原料在本实施方式中在同一成膜工艺中交替地汽化供给两液体原料而使用。但是,在同一成膜工艺中也有混合两液体原料使用的情况,另外也有在不同的工艺中使用的情况。
第一储存槽21a通过第一原料供给管22a、第二储存槽21b通过第二原料供给管22b、第三储存槽21c通过溶剂供给管22c与集合配管23连接。经由集合配管23和阀V12向汽化器200供给液体原料,另外在汽化器200的清洗时供给溶剂。在这些供给管22a、22b、22c上配设有阀V1~V6和流量调整部M1~M3。
溶剂供给管22c和第一、第二原料供给管22a、22b通过分别具有阀V7、V8的连接配管24连接。另外,可以以在各供给管22a、22b、22c的周围设置温度调节机构等,使液体原料和溶剂成为一定的温度例如大约40℃的方式设定。另外,在第一储存槽21a、第二储存槽21b和第三储存槽21c经由阀V9~V11分支连接有加压气体供给管25。加压气体供给管25的另一端侧与用于供给加压气体例如氦(He)气的加压气体供给源(未图示)连接。
集合配管23,在成膜工艺时,由于是用于供给液体原料,所以集合配管23也称为液体原料供给管23。液体原料供给管23通过冷却块体(block)40与汽化器200连接。冷却块体40将液体原料冷却到溶剂的沸点以下、例如10℃,能够仅冷却液体原料并供给到喷嘴50。通过冷却块体40还配设有运载气体供给管26(运载气体流路)。运载气体供给管26与运载气体供给部60连接,并且具备流量调整部M4和阀V13。汽化器200具备形成汽化室的容器201和喷嘴50,喷嘴50气密地安装在容器201的上表面的开口部。
液体原料供给管23和运载气体供给管26与喷嘴50连接。喷嘴50作为具有内管和外管的双重管结构的喷雾式喷嘴构成。从该内管供给从液体原料供给管23输送来的液体原料,从外管供给从运载气体供给管26作为雾化用气体输送来的氮气(或Ar、He等非活泼性气体)。液体原料被雾化(形成为雾状)从喷嘴50的前端的喷出口50a(例如孔径0.25mm)供给到容器201内。
在容器201的侧面下方和底面形成有用于取出将液体原料汽化而得到的处理气体的取出口202和用于排出未汽化的液体原料的排泄口(drain boat)203。在容器201的壁部埋设有加热汽化室内部的例如由电阻发热体等构成的加热器204。另外在容器201的下部配设有具备加热器的圆柱状的下部热交换部205。
在取出口202以气密状态连接有处理气体供给管(气体供给通路)30。在排泄口203上经由插设有阀V14的雾排出管27连接有排出泵80。为了分别检测汽化器200的容器201(汽化室)内部的温度和压力,配设有温度检测部206和压力检测部70。根据温度检测部206的检测信号通过后述的控制部90控制来自电源部207的对加热器204和下部热交换部205的供给电力。
在处理气体供给管30上配设有阀V15和调整阀(压力调整部)VT1。调整阀VT1是用于调整通过处理气体供给管30供给的处理气体的流量的阀。调整阀VT1由配设在气体供给装置20上的例如由计算机构成的控制部90控制。在处理气体供给管30上经由插设有阀V16的排出管28连接有排出泵80。
成膜系统1具备控制系统整体的动作的由计算机等构成的主控制部92。主控制部92根据预先存储于主控制部92附带的存储部中的工艺方案,依据例如形成的膜的膜厚和组成等条件进行后述的成膜处理。在该存储部上另外预先存储有处理气体流量与膜的膜质和组成的关系作为控制数据。因此,主控制部92能够根据这些存储的处理方案或控制数据控制成膜处理装置10和气体供给装置20的各部。另外,存储介质例如是磁盘(软盘、硬盘(例如包括在存储部中的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。
接着,说明利用调整阀(压力调整部)VT1对容器201内部的压力调整的方式。图3是用于说明调整阀VT1的作用效果的图。具体地,在作为运载气体将氩气(Ar)以0.5slm或0.3slm供给的状态下,改变将Sr(THD)2溶解在THF溶剂后的液体原料的供给量的同时进行供给。这时,测量汽化器的汽化室内部的压力如何变化。另外,在图3中,线La表示汽化室内部的压力,虚线Lb表示液体原料的设定供给量。
另外,在与本实施方式相同的汽化器中,汽化室内部的压力必须比与液体原料进行汽化的压力对应的上限值低。因此考虑到这一点,进行上述的测量。如图3所示,在氩气供给0.5slm的状态下,如虚线Lb所示液体原料的供给量为1.0sccm左右。这种情况下,汽化室内部的压力根据线La激烈脉动的情况判断为不稳定的状态。另外,在供给0.5slm氩气的状态下如虚线Lb所示使液体原料的供给量为3.0sccm以上。这种情况下,汽化室内部的压力根据线La为大致平稳的情况判断为稳定。在开始图3的点划线A所示的测量经过大致50秒的地点,氩气的供给量下降至0.3slm,供给液体原料大约1.0sccm。这种情况下,汽化室内部的压力根据线La激烈脉动的情况判断为不稳定状态。其程度,与在氩气供给0.5slm的状态下液体原料供给大约0.5sccm的情况相比更加激烈,更加不稳定。
即,在与本实施方式相同的汽化器中,供给到汽化室内部的液体原料和运载气体的供给量下降汽化室内部的压力成为较低的状态时,则汽化室内部的压力成为激烈地大幅升降的不稳定状态。汽化室内部的压力不稳定,则在喷嘴前端内液体原料的溶剂沸腾,如已述,引起喷嘴的闭塞或喷雾异常。因此在本实施方式的成膜系统1中,通过压力检测部70监视汽化室内部的压力,检测压力的降低。并且,通过调整阀VT1调整汽化室内部的压力,防止形成压力激烈地大幅升降的不稳定状态。另外,规定的压力范围例如写入工艺方案,在主控制部92选择工艺方案时能够被读出。
在汽化室内部的压力降低至规定的压力范围的下限值,通过调整阀VT1使压力上升的情况下,必须使该压力不超过考虑到液体原料能够汽化的界限值而设定的规定的压力范围的上限值。以图3为一例,汽化室内部的规定的压力范围为从低于液体原料进行汽化的压力的界限值的上限值大约4kPa(30Torr),到高于内部压力开始大幅升降的压力的界限值的下限值大约2kPa(15Torr)的范围。
控制部90例如从被选择的步骤读出规定的压力范围,成膜处理中比较压力检测部70的压力检测值和规定的压力范围。在汽化室内部的压力下降到规定的压力范围的下限值的情况下,通过减小调整阀VT1的开度而减小经由处理气体供给管30供给的处理气体的流量。由此,不改变供给到汽化室的液体原料和运载气体的量,仅减少从汽化室流出的处理气体的量。因此,处理气体停滞在汽化室内部的时间变长,处理气体在汽化室内部滞留,汽化室内部的压力上升。像这样以使处理气体的流量减少的方式进行调整后,在汽化室内部的压力上升至规定的压力范围的上限值的情况下,仅以预先设定的量使调整阀VT1的开度增大。由此,由于汽化室内部的处理气体的停滞量变少,能够使汽化室内的压力降低,不超过用于液体原料汽化的压力界限值。
因此,根据本实施方式,按照以下的顺序,控制汽化室内部的压力。首先,关于规定的液体原料,求出由于汽化室内的压力上升而阻碍液体原料的汽化的第一界限值。第一界限值能够根据实验数据或文献数据得到。另外,求出因压力的下降而液体原料的汽化不稳定汽化室内的压力开始脉动(作为不规则振动(hunting)已知的振动)的第二界限值。第二界限值优选根据实验数据得到。然后决定通过比第一界限值设定得低的上限值和比第二界限值设定得高的下限值规定的规定压力范围。
如上所述,规定压力范围的下限值意味着在喷嘴前端内液体原料的溶剂沸腾引起喷嘴的闭塞或喷雾异常。因此,换言之第二界限值可以说是因压力下降而在喷嘴的前端部内液体原料的溶剂开始沸腾的压力。在本实施方式中,由于通过冷却块体40在喷嘴50的正前方将液体原料冷却到规定温度,所以规定压力范围的下限值能够将在液体原料中使用的溶剂在该规定温度开始沸腾的压力设定为标准值。
上述规定的压力范围优选在对处理气体的供给没有坏影响的范围内尽量宽。另外,关于用于形成高电介体薄膜的固体原料,溶于各种溶剂并进行调查,结果判明,与各种类型的液体原料共通地,若下限值为上限值的40%~60%,则能够得到能够可靠地防止不规则振动并进行高效的汽化处理的压力范围。
接着,将该规定的压力范围存储在主控制部92能够读出数据的存储部,例如作为工艺方案的一部分写入。并且,为了在成膜处理装置10中进行成膜处理,在供给处理气体时,在控制部90的控制下根据压力检测部70的压力检测值控制压力调整机构(第一实施方式中为调整阀VT1)的动作,使得汽化室内的压力收敛在规定的压力范围内。
接着,说明本实施方式的成膜系统1的成膜方法。首先,在成膜处理装置10中,将规定枚数的晶片装载在晶舟12中,搬入反应管11内。然后,将该反应管11内维持在规定的温度和规定的压力的稳定状态。接着将处理气体供给到成膜处理装置10。首先,从加压气体供给源(未图示)将作为加压气体的氦气(He)供给到第一储存槽21a,通过流量调整部M1调整流量同时经由液体原料供给管23将液体原料供给到冷却块体40。供给到冷却块体40的液体原料以被冷却的状态供给到喷嘴50。另外,对喷嘴50,从运载气体供给部60经由冷却块体40供给例如氩气(Ar)等运载气体。由此,在汽化室内部,以喷雾的方式从喷嘴50导入例如5sccm的流量的液体原料并形成雾状。
汽化室内部通过加热器204和下部热交换部205而被加热为例如150℃。从喷嘴50以雾状导入的液体原料在被均匀地细化的状态下到达由下部热交换部205和容器201的内壁形成的汽化空间。然后,在容器201内壁进行热交换而汽化,变为处理气体,经由取出口202向处理气体供给管30流入。
另一方面,包含在处理气体中的雾由于重量大而因惯性力不能够改变方向,保持原状向下方流动。结果,雾从处理气体分离,冲击到容器201的底部。如果雾在容器201的底面积存,则它们相互附着形成液相,顷刻就固相化,积存在容器201的底面。这样,在容器201的底面积存的固相副产物在某维护的周期中,通过使汽化器200的温度降低并将溶剂填充在容器201内而使固相副产物溶解,从排泄口203排出。由于排泄口203位于比取出口202低的位置,所以排泄物不会流入取出口202中。
这样,从汽化器200经由处理气体供给管30向成膜处理装置10供给处理气体。另外,在反应管11上也连接有臭氧的气体供给装置(未图示)。在成膜装置中,首先供给来自汽化器200的Sr的蒸气,使Sr的气体分子吸附在晶片上。接着,停止Sr的液体原料,进行汽化器200的利用非活泼性气体的清洗工序。另外,在此,也有对成膜处理装置10的反应管11进行真空排气的情况。接着,从臭氧的气体供给装置供给O3(臭氧),将晶片W上的Sr的气体分子氧化而形成SrO的分子层。接着,将对汽化器200供给用的连接从第一储存槽21a切换到第二储存槽21b,从汽化器200供给Ti的蒸气,使在晶片上吸附Ti的气体分子。接着,停止Ti的液体原料,进行汽化器200的利用非活泼性气体的清洗工序。接着,从臭氧的气体供给装置供给O3(臭氧),将晶片W上的Ti的气体分子氧化,形成TiO的分子层。通过这样的ALD处理层叠SrO和TiO的分子层,从而形成规定的厚度的STO〔钛酸锶〕膜。另外,通过调整SrO和TiO的成膜循环数,由此能够控制STO膜的组成比,例如形成为SrO:TiO=3:11~5:9。
在该成膜处理中,利用压力检测部70监视汽化室内部的压力。当压力检测值降低到规定压力范围的下限值,则经由控制部90使调整阀VT1的开度小于初始值,使汽化室内部的压力上升。另外相反地,压力检测值变高到规定的压力范围的上限值时,则经由控制部90仅以预先设定的量使调整阀VT1的开度增大到例如到达初始值或者未到达初始值的程度。由此即使在成膜处理中汽化室内部的压力降低,也能够使该压力处于液体原料的汽化稳定的压力范围内,能够使成膜处理稳定继续。
另外,成膜处理之后,通过在汽化器200内、处理气体供给管30利用例如氮化气体等气体进行清洗,从而能够完全除去汽化器200内残留的液体原料。另外,能够定期地进行汽化器200和供给管内的清洗。具体地,将储存在第三储存槽21c中的溶剂从溶剂供给管22c经由连接配管24供给到第一原料供给管22a、第二原料供给管22b,并经由液体原料供给管23、喷嘴50向汽化器200供给。然后,将附着在各配管内和容器201的内壁上的液体原料或液体原料的固化物利用溶剂溶解并将其洗刷冲走,经由排泄口203从雾排出管27向系统外部排出用于清洗的溶剂。
根据第一实施方式,经由压力检测部70监视汽化器200的汽化室内部的压力。压力检测值降低至规定的压力范围的下限值时,通过调整配置在处理气体供给管30上的调整阀VT1,提高容器201内部的压力,避免液体原料的汽化变得不稳定。由此在成膜系统1中,即使液体原料的流量为少量,也能够抑制喷嘴50的前端的闭塞或喷雾异常导致的汽化效率的恶化,能够抑制残渣物的增加,降低颗粒污染。因此,能够稳定进行液体原料的汽化,不会中断成膜处理并能够稳定进行。另外,作为利用调整阀VT1的汽化室内部的压力调整法,与工艺方案对应在汽化室内部的压力变得不稳定的下侧压力和液体原料的能够汽化的上侧压力之间设定规定的压力范围,能够进行反馈控制使得压力检测值在该规定的压力范围。
<第二实施方式>
图4是概略表示本发明的第二实施方式的半导体处理系统的结构图。第二实施方式的成膜系统101通过使用配设在溶剂供给管22c上的流量调整部(压力调整部)M3进行向液体原料供给管23的溶剂的流量调整,从而进行汽化室的压力控制。即,该机构为使用配设在第一实施方式的处理气体供给管30上的调整阀VT1进行压力控制的替代结构。另外,成膜系统101在进行通常的处理时与第一实施方式的成膜系统1进行相同的动作。
成膜处理开始时,使流量调整部M3的开度为0,形成不从溶剂供给管22c向液体原料供给管23供给溶剂的状态。另一方面,控制部190从例如被选择的方案中读出规定的压力范围,成膜处理中比较压力检测部70的压力检测值和规定的压力范围。在汽化室内部的压力下降到规定的压力范围的下限值的情况下,控制部190通过流量调整部M3以增加溶剂的供给量的方式进行调整同时开始将溶剂向汽化室内供给。由此,不改变从汽化室内部流出的处理气体的量,而供给到汽化室内部的溶剂的供给量增加。因此,汽化的溶剂在汽化室内部停滞的时间变长,汽化的溶剂积存在汽化室内部,汽化室内部的压力上升。并且,以增加溶剂的流量的方式进行调整后,在汽化室内部的压力上升至规定压力范围的上限值的情况下,以规定量减小流量调整部M3的开度,例如使流量调整部M3完全关闭或不完全关闭。也就是说即使用流量调整部M3阻止溶剂的供给的增加。由此,在汽化室内部汽化的溶剂的停滞量变少,所以能够使汽化室内的压力降低,能够使其不超过用于液体原料进行汽化的压力界限值。
在第二实施方式中,汽化室内部的压力下降到规定的压力范围的下限值时,调整配设在溶剂供给管22c上的流量调整部M3。由此,提高汽化室内部的压力避免液体原料的汽化变得不稳定,因此能够得到与第一实施方式相同的作用效果。
<第三实施方式>
图5是概略表示本发明的第三实施方式的半导体处理系统的结构图。第三实施方式的成膜系统1001使用配设在运载气体供给管26上的流量调整部(压力调整部)M4进行运载气体向汽化室的流量调整,从而进行汽化室的压力控制。即,该结构为使用配设在第一实施方式的处理气体供给管30上的调整阀VT1进行压力控制的替代结构。另外,成膜系统101进行通常的处理时进行与第一实施方式的成膜系统1相同的动作。
成膜处理开始时,使流量调整部M4的开度为1/2,形成从运载气体供给管26以小流量供给运载气体的状态。另一方面,控制部1090例如从被选择的方案读出规定的压力范围,成膜处理中比较压力检测部70的压力检测值和规定的压力范围。汽化室内部的压力下降到规定的压力范围的下限值的情况下,控制部1090通过流量调整部M4以增加运载气体向汽化室内的供给量的方式进行调整。由此,不改变从汽化室内部流出的处理气体的量,而增加供给到汽化室内部的运载气体的供给量。因此,运载气体在汽化室内部停滞的时间变长,运载气体积存在汽化室内部,汽化室内部的压力上升。并且,以增加运载气体的流量的方式进行调整后,在汽化室内部的压力上升至规定压力范围的上限值的情况下,仅以预先设定的量减小流量调整部M4的开度,例如减小到达到或未达到初始值的程度。即使用流量调整部M4阻止运载气体的供给的增加。由此,由于在汽化室内部运载气体的停止量变少,因而能够使汽化室内的压力降低,使其不超过用于液体原料进行汽化的压力界限值。
在第三实施方式中,当汽化室内部的压力下降到规定的压力范围的下限值时,调整配设在运载气体供给管26的流量调整部M4。由此,由于提高汽化室内部的压力避免液体原料的汽化变得不稳定,所以能够得到与第一实施方式相同的作用效果。
<总结和变形例>
在本发明的实施方式的成膜系统1、101、1001中,配设有用于调整容器201的内部的压力的调整阀VT1、或者流量调整部M3、M4。控制部90、190、1090,若当容器201的内部压力将要超出液体原料稳定地进行汽化的规定压力范围时,则通过调整阀VT1或者流量调整部M3、M4进行流量控制,从而进行调整使容器201内部的压力在规定的压力范围中。
由此在实施方式的成膜系统1、101、1001中,能够不停止成膜处理,并能够防止容器201的内部压力过度降低。另外,能够抑制喷嘴50的前端的闭塞或喷雾异常引起气体效率恶化,抑制残渣物的增加,降低颗粒污染。因此能够稳定进行液体原料的汽化,不使成膜处理中断并稳定地进行成膜处理。
在成膜系统1、101、1001中,根据从压力检测部70发送来的压力信息,判定容器201内部的压力异常已被解除。取而代之,这样的异常解除能够使用在参数中预先设定的规定值进行判断,上述参数是为了调整汽化室内部的压力使用的溶剂或者运载气体的供给量等。这种情况下,将与异常的解除对应的参数的规定值写入控制部能够读取的表格里,在汽化室的内部的压力发生异常时,只要调整溶剂或者运载气体的供给量等的参数使其达到上述规定值即可。
另外,在成膜系统1、101、1001中,通过ALD处理进行成膜。取而代之,例如也可以在每个工艺使用单一的液体原料进行成膜,另外也可以将多种液体原料混合作为处理气体,使用该处理气体进行成膜处理。
在成膜系统1、101、1001中,调整阀VT1、流量调整部M3和流量调整部M4的开度通过控制部90在一个阶段进行调整。取而代之,例如也可以形成多阶段地对调整阀或者流量调整部的开度进行调整,使处理气体、溶剂或者运载气体的供给量多阶段地变化的方式。在该情况下,预先设定每一个阶段的开度的变化量,根据该确定的变化量调整供给量。并且,调整开度后隔开一定时间后,比较压力检测值和规定的压力范围。在汽化室内部的压力依然超出规定的压力范围的情况下,再以一阶段的量,对调整阀或者流量调整部的开度进行调整,从而调整处理气体、溶剂或者运载气体供给量。
在成膜系统1中,调整阀VT1当容器201的内部的压力返回规定的压力范围后,也能够通过调整阀VT1维持减小处理气体的流量的状态。取而代之,也能够在容器201内部的压力返回规定压力范围内后,开放调整阀使处理气体的流量返回通常状态。
在成膜系统101、1001中,流量调整部M3、M4当容器201的内部的压力返回规定的压力范围内后,也能够通过流量调整部M3、M4维持增加溶剂、或运载气体的供给量的状态。取而代之,例如也可以在容器201内部的压力返回规定的压力范围内后,返回流量调整部M3、M4的状态,使溶剂或运载气体的供给量返回通常状态。
在上述实施方式中,压力检测部70与汽化器200连接。取而代之,只要能够检测到容器201内部的压力,则也可以将压力检测部设置于处理气体供给管30的阀V15的上游侧。
在上述实施方式中,作为加压气体供给氦气(He)。取而代之,除氦气以外例如也可以使用氮气(N2)或氩气(Ar)等非活泼性气体。
在成膜系统1、101、1001中,加热器204在靠近取出口202侧的位置设置两根,在靠近与取出口202相反侧的位置设置两根,这些加热器204分别与电源部207连接。加热器的根数只要能够均匀加热汽化室的内壁,则不论3根以下还是5根以上都可以。另外,作为加热器的控制方式,例如可以是通过一个温度控制器对加热器进行加热控制。也可以以取出口侧的两根为一组,除此以外的两根为一组分别对每组独立进行加热控制。或者也可以对四根分别独立地进行加热控制。

Claims (20)

1.一种半导体处理系统,其包括半导体处理装置和对所述半导体处理装置供给处理气体的气体供给装置,其特征在于:
所述半导体处理装置包括:
收纳被处理基板的处理室;
在所述处理室内支撑所述被处理基板的支撑部件;
加热所述处理室内的所述被处理基板的加热器;和
对所述处理室内进行排气的排气系统,
所述气体供给装置包括:
形成用于汽化液体原料的汽化室的容器;
通过运载气体将所述液体原料雾化并供给到所述汽化室内的喷嘴;
对所述喷嘴供给所述液体原料的液体原料供给通路;
对所述喷嘴供给所述运载气体的运载气体供给通路;
为了将所述被雾化的液体原料汽化生成所述处理气体,对所述汽化室内加热的加热器,
用于将所述处理气体从所述汽化室内供给到所述处理室的气体供给通路;
用于检测所述汽化室内的压力的压力检测部;
用于调整所述汽化室内的压力的压力调整机构;和
控制所述压力调整机构的动作的控制部,其中,所述控制部按照以下方式预先设定,即根据所述压力检测部的压力检测值控制所述压力调整机构的动作,使所述汽化室内的压力收敛在规定的压力范围内;所述规定的压力范围由上限值和下限值规定,所述上限值设定为比由于所述压力的上升而阻碍所述液体原料的汽化的第一界限值低,所述下限值设定为比由于所述压力的下降而所述液体原料的汽化变得不稳定从而所述汽化室内的压力开始脉动的第二界限值高。
2、如权利要求1所述的半导体处理系统,其特征在于:
所述下限值比由于所述压力的下降在所述喷嘴的前端部内所述液体原料的溶剂开始沸腾的压力的界限值高。
3、如权利要求1所述的半导体处理系统,其特征在于:
所述下限值设定为所述上限值的40%~60%。
4、如权利要求1所述的半导体处理系统,其特征在于:
所述压力调整机构具备配设在所述气体供给通路上的用于调整所述处理气体的流量的流量调整部,所述控制部基于所述压力检测值控制所述流量调整部的动作。
5、如权利要求1所述的半导体处理系统,其特征在于:
所述气体供给装置还具备与所述液体原料供给通路连接的对所述液体原料中添加溶剂的溶剂供给通路,所述压力调整机构具备配设于所述溶剂供给通路上的用于调整所述溶剂的流量的流量调整部,所述控制部基于所述压力检测值控制所述流量调整部的动作。
6、如权利要求1所述的半导体处理系统,其特征在于:
所述压力调整机构具备配设在所述运载气体供给通路上的用于调整所述运载气体的流量的流量调整部,所述控制部基于所述压力检测值控制所述流量调整部的动作。
7、如权利要求1所述的半导体处理系统,其特征在于:
所述气体供给装置按照以下方式构成:作为所述液体原料使用将固体材料溶解在溶剂中的材料。
8、如权利要求1所述的半导体处理系统,其特征在于:
所述半导体处理装置按照在所述被处理基板上形成薄膜的方式构成,所述气体供给装置按照以下方式构成:将提供所述薄膜的成分的气体作为所述处理气体加以供给。
9、如权利要求1所述的半导体处理系统,其特征在于:
所述喷嘴具有由内管和外管构成的双重管结构,从所述内管供给所述液体原料,从所述外管供给所述运载气体。
10、如权利要求9所述的半导体处理系统,其特征在于:
所述喷嘴安装在用于冷却所述液体原料的冷却块体,所述液体原料供给通路通过所述冷却块体与所述喷嘴连接。
11.一种半导体处理系统的使用方法,所述半导体处理系统包括半导体处理装置和对所述半导体处理装置供给处理气体的气体供给装置,其特征在于:
所述半导体处理装置包括:
收纳被处理基板的处理室;
在所述处理室内支撑所述被处理基板的支撑部件;
加热所述处理室内的所述被处理基板的加热器;和
对所述处理室内进行排气的排气系统,
所述气体供给装置包括:
形成用于汽化液体原料的汽化室的容器;
通过运载气体将所述液体原料雾化并供给到所述汽化室内的喷嘴;
对所述喷嘴供给所述液体原料的液体原料供给通路;
对所述喷嘴供给所述运载气体的运载气体供给通路;
为了将所述被雾化的液体原料汽化生成所述处理气体,对所述汽化室内加热的加热器,
用于将所述处理气体从所述汽化室内供给到所述处理室的气体供给通路;
用于检测所述汽化室内的压力的压力检测部;和
用于调整所述汽化室内的压力的压力调整机构;
所述方法包括:
求出由于所述汽化室内的压力的上升而阻碍所述液体原料的汽化的第一界限值的工序;
求出由于所述压力的下降而使所述液体原料的汽化变得不稳定,从而所述汽化室内的压力开始脉动的第二界限值的工序;
决定由设定为比所述第一界限值低的上限值和设定为比所述第二界限值高的下限值规定的规定压力范围的工序;和
根据所述压力检测部的压力检测值,控制所述压力调整机构的动作,使所述汽化室内的压力收敛在所述规定压力范围内的工序。
12、如权利要求11所述的方法,其特征在于:
所述下限值比由于所述压力的下降在所述喷嘴的前端部内所述液体原料的溶剂开始沸腾的压力的界限值高。
13、如权利要求11所述的方法,其特征在于:
所述下限值设定为所述上限值的40%~60%。
14、如权利要求11所述的方法,其特征在于:
所述压力调整机构具备配设在所述气体供给通路上的用于调整所述处理气体的流量的流量调整部,所述方法基于所述压力检测值控制所述流量调整部的动作。
15、如权利要求11所述的方法,其特征在于:
所述气体供给装置还具备与所述液体原料供给通路连接的对所述液体原料中添加溶剂的溶剂供给通路,所述压力调整机构具备配设于所述溶剂供给通路上的用于调整所述溶剂的流量的流量调整部,所述方法基于所述压力检测值控制所述流量调整部的动作。
16、如权利要求11所述的半导体处理系统的使用方法,其特征在于:
所述压力调整机构具备配设在所述运载气体供给通路上的用于调整所述运载气体的流量的流量调整部,所述方法基于所述压力检测值控制所述流量调整部的动作。
17、如权利要求11所述的方法,其特征在于:
所述气体供给装置按照以下方式构成:作为所述液体原料使用将固体材料溶解在溶剂中的材料。
18、如权利要求11所述的方法,其特征在于:
所述半导体处理装置按照在所述被处理基板上形成薄膜的方式构成,所述气体供给装置按照以下方式构成:将提供所述薄膜的成分的气体作为所述处理气体加以供给。
19、如权利要求11所述的方法,其特征在于:
所述喷嘴具有由内管和外管构成的双重管结构,从所述内管供给所述液体原料,从所述外管供给所述运载气体。
20、如权利要求19所述的方法,其特征在于:
所述喷嘴安装在用于冷却所述液体原料的冷却块体上,所述液体原料供给通路通过所述冷却块体与所述喷嘴连接。
CN2009100012830A 2008-01-18 2009-01-16 包括汽化器的半导体处理系统及其使用方法 Expired - Fee Related CN101488449B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008-009710 2008-01-18
JP2008009710A JP5200551B2 (ja) 2008-01-18 2008-01-18 気化原料供給装置、成膜装置及び気化原料供給方法
JP2008009710 2008-01-18

Publications (2)

Publication Number Publication Date
CN101488449A true CN101488449A (zh) 2009-07-22
CN101488449B CN101488449B (zh) 2012-05-23

Family

ID=40876814

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100012830A Expired - Fee Related CN101488449B (zh) 2008-01-18 2009-01-16 包括汽化器的半导体处理系统及其使用方法

Country Status (5)

Country Link
US (2) US20090186479A1 (zh)
JP (1) JP5200551B2 (zh)
KR (1) KR101103119B1 (zh)
CN (1) CN101488449B (zh)
TW (1) TWI434364B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102102195A (zh) * 2009-12-16 2011-06-22 周星工程股份有限公司 薄膜沉积系统和薄膜沉积方法
CN102576665A (zh) * 2009-08-26 2012-07-11 泰拉半导体株式会社 蒸镀气体供给装置
CN103000514A (zh) * 2011-09-09 2013-03-27 上海华虹Nec电子有限公司 亚常压化学气相沉积法设备气化阀堵塞的检测方法
CN103245848A (zh) * 2012-02-14 2013-08-14 精工爱普生株式会社 处理器以及部件检查装置
CN103380486A (zh) * 2010-12-21 2013-10-30 株式会社渡边商行 汽化器
CN106159113A (zh) * 2014-09-16 2016-11-23 三星显示有限公司 显示装置的制造装置及显示装置的制造方法
CN109119319A (zh) * 2017-06-23 2019-01-01 东京毅力科创株式会社 检查气体供给系统的方法
CN110268091A (zh) * 2017-02-21 2019-09-20 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
CN110565076A (zh) * 2018-06-06 2019-12-13 北京北方华创微电子装备有限公司 补液方法

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4299286B2 (ja) * 2005-10-06 2009-07-22 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法
JP2008244318A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd 基板搬送部材の洗浄方法、基板搬送装置及び基板処理システム
JP4324619B2 (ja) * 2007-03-29 2009-09-02 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法
US7883745B2 (en) * 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
JP2011054938A (ja) * 2009-08-07 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び液体流量制御装置の動作確認方法
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
DE102010000479A1 (de) * 2010-02-19 2011-08-25 Aixtron Ag, 52134 Vorrichtung zur Homogenisierung eines verdampften Aerosols sowie Vorrichtung zum Abscheiden einer organischen Schicht auf einem Substrat mit einer derartigen Homogenisierungseinrichtung
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) * 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
DE102011051261A1 (de) * 2011-06-22 2012-12-27 Aixtron Se Verfahren und Vorrichtung zum Abscheiden von OLEDs insbesondere Verdampfungsvorrichtung dazu
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201443984A (zh) * 2013-02-05 2014-11-16 Hitachi Int Electric Inc 清洗方法、半導體裝置之製造方法、基板處理裝置、以及記錄媒體及清洗結束判定方法
KR101562659B1 (ko) 2013-09-13 2015-10-22 주식회사 지에스티에스 안정실 압력 제어 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102483924B1 (ko) 2016-02-18 2023-01-02 삼성전자주식회사 기화기 및 이를 구비하는 박막 증착 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6811146B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6774972B2 (ja) * 2018-02-08 2020-10-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI821363B (zh) 2018-08-31 2023-11-11 美商應用材料股份有限公司 前驅物遞送系統
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR102262514B1 (ko) * 2019-06-10 2021-06-09 (주)티티에스 원료 기화 장치 및 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR102125171B1 (ko) * 2019-07-19 2020-06-19 연세대학교 산학협력단 다공성 막의 제조 방법 및 이의 제조 장치
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7282188B2 (ja) * 2019-09-24 2023-05-26 東京エレクトロン株式会社 原料供給装置及び原料供給方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20230002900A1 (en) * 2019-12-16 2023-01-05 Fujikin Incorporated Vaporization supply method and vaporization supply device
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7033622B2 (ja) * 2020-03-19 2022-03-10 株式会社Kokusai Electric 気化装置、基板処理装置、クリーニング方法および半導体装置の製造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102438237B1 (ko) * 2021-01-26 2022-08-30 엠케이피 주식회사 액체 소스 공급 시스템 및 이를 이용한 액체 소스 공급 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023039234A (ja) 2021-09-08 2023-03-20 東京エレクトロン株式会社 原料供給装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09143691A (ja) * 1995-11-22 1997-06-03 Tokyo Electron Ltd 成膜・熱処理装置
JP3258885B2 (ja) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
JPH10273780A (ja) * 1997-03-31 1998-10-13 Nippon Sanso Kk Cvd装置
JPH11193463A (ja) * 1997-12-26 1999-07-21 Nissan Motor Co Ltd 化学的気相成長装置
JPH11335846A (ja) 1998-05-20 1999-12-07 Ebara Corp 成膜装置及びその運転方法
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
JP2002324794A (ja) * 2001-04-26 2002-11-08 Fujitsu Ltd 気相成長方法及び気相成長装置
JP2003160869A (ja) * 2001-11-27 2003-06-06 Japan Science & Technology Corp 液体気化器、液体気化供給システム及び液体気化制御方法
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
JP2005307233A (ja) * 2004-04-19 2005-11-04 Tokyo Electron Ltd 成膜装置及び成膜方法及びプロセスガスの供給方法
JP4699092B2 (ja) * 2005-06-01 2011-06-08 日本パイオニクス株式会社 酸化亜鉛膜の成膜方法
JP4299286B2 (ja) * 2005-10-06 2009-07-22 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法
JP4324619B2 (ja) 2007-03-29 2009-09-02 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102576665A (zh) * 2009-08-26 2012-07-11 泰拉半导体株式会社 蒸镀气体供给装置
CN102102195A (zh) * 2009-12-16 2011-06-22 周星工程股份有限公司 薄膜沉积系统和薄膜沉积方法
CN103380486B (zh) * 2010-12-21 2016-08-10 株式会社渡边商行 汽化器
CN103380486A (zh) * 2010-12-21 2013-10-30 株式会社渡边商行 汽化器
CN103000514A (zh) * 2011-09-09 2013-03-27 上海华虹Nec电子有限公司 亚常压化学气相沉积法设备气化阀堵塞的检测方法
CN103000514B (zh) * 2011-09-09 2015-06-03 上海华虹宏力半导体制造有限公司 亚常压化学气相沉积法设备气化阀堵塞的检测方法
CN103245848A (zh) * 2012-02-14 2013-08-14 精工爱普生株式会社 处理器以及部件检查装置
CN106159113A (zh) * 2014-09-16 2016-11-23 三星显示有限公司 显示装置的制造装置及显示装置的制造方法
CN106159113B (zh) * 2014-09-16 2019-07-05 三星显示有限公司 显示装置的制造装置及显示装置的制造方法
CN110268091A (zh) * 2017-02-21 2019-09-20 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
CN110268091B (zh) * 2017-02-21 2021-10-12 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
CN109119319A (zh) * 2017-06-23 2019-01-01 东京毅力科创株式会社 检查气体供给系统的方法
CN109119319B (zh) * 2017-06-23 2020-07-31 东京毅力科创株式会社 检查气体供给系统的方法
CN110565076A (zh) * 2018-06-06 2019-12-13 北京北方华创微电子装备有限公司 补液方法
CN110565076B (zh) * 2018-06-06 2021-10-15 北京北方华创微电子装备有限公司 补液方法

Also Published As

Publication number Publication date
JP5200551B2 (ja) 2013-06-05
US20140080320A1 (en) 2014-03-20
US20090186479A1 (en) 2009-07-23
US9159548B2 (en) 2015-10-13
KR20090079819A (ko) 2009-07-22
TWI434364B (zh) 2014-04-11
TW200943456A (en) 2009-10-16
JP2009170800A (ja) 2009-07-30
KR101103119B1 (ko) 2012-01-04
CN101488449B (zh) 2012-05-23

Similar Documents

Publication Publication Date Title
CN101488449B (zh) 包括汽化器的半导体处理系统及其使用方法
US8382903B2 (en) Vaporizer and semiconductor processing system
CN101285178B (zh) 汽化器和半导体处理系统
JP5015002B2 (ja) マルチトレイの膜用前駆体気化システム、及び、該システムを内蔵する薄膜成膜システム
WO2011070945A1 (ja) 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
JP6724005B2 (ja) 基板処理装置、半導体装置の製造方法及び気化システム
US20080305014A1 (en) Substrate processing apparatus
US20050223987A1 (en) Film forming apparatus
JP2000249058A (ja) トラップ装置
US20060070575A1 (en) Solution-vaporization type CVD apparatus
US8012876B2 (en) Delivery of vapor precursor from solid source
WO2003079421A1 (fr) Procede de depot de couche mince cvd
JP4759916B2 (ja) 処理装置
JP2001064777A (ja) ガス噴射ヘッド
JP2000104172A (ja) 成膜方法,成膜装置及び固形原料
JP4110576B2 (ja) Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
JP4595356B2 (ja) 有機金属化学気相堆積装置用原料気化器
TW202338141A (zh) 前驅物遞送系統
JP4421119B2 (ja) 半導体装置の製造方法
KR101773038B1 (ko) 기화기를 갖는 증착장치 및 증착방법
JP2000252270A (ja) ガス噴射ヘッド
JP2008160081A (ja) 基板処理装置及び基板処理方法
JP5624744B2 (ja) 気化器、気化方法及びcvd装置
KR20010110909A (ko) 전구체 공급 장치
JP2004327758A (ja) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120523

Termination date: 20210116

CF01 Termination of patent right due to non-payment of annual fee