US20090186479A1 - Semiconductor processing system including vaporizer and method for using same - Google Patents

Semiconductor processing system including vaporizer and method for using same Download PDF

Info

Publication number
US20090186479A1
US20090186479A1 US12/318,971 US31897109A US2009186479A1 US 20090186479 A1 US20090186479 A1 US 20090186479A1 US 31897109 A US31897109 A US 31897109A US 2009186479 A1 US2009186479 A1 US 2009186479A1
Authority
US
United States
Prior art keywords
pressure
liquid material
flow rate
gas
vaporizing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/318,971
Other languages
English (en)
Inventor
Tsuneyuki Okabe
Hitoshi Katoh
Junya Hiraka
Hiroyuki Kikuchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIRAKA, JUNYA, KIKUCHI, HIROYUKI, KATOH, HITOSHI, OKABE, TSUNEYUKI
Publication of US20090186479A1 publication Critical patent/US20090186479A1/en
Priority to US14/088,028 priority Critical patent/US9159548B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Definitions

  • the present invention relates to a semiconductor processing system including a vaporizer for generating a process gas from a liquid material, and particularly to a system comprising a film formation processing apparatus for performing, e.g., ALD (Atomic Layer Deposition), MLD (Molecule Layer Deposition), or low-pressure CVD (Chemical Vapor Deposition).
  • ALD Atomic Layer Deposition
  • MLD Molecule Layer Deposition
  • CVD Chemical Vapor Deposition
  • semiconductor process includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an FPD (Flat Panel Display), e.g., an LCD (Liquid Crystal Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • FPD Full Panel Display
  • LCD Liquid Crystal Display
  • a film formation process for forming a predetermined film on the surface of a semiconductor wafer is performed in manufacturing semiconductor devices.
  • a process of this kind is performed, using a low-pressure CVD apparatus.
  • a source material is supplied in a gaseous state to promote a chemical reaction, thereby depositing a thin film on the surface of a wafer.
  • a process gas is generated by vaporizing a liquid material, and is supplied into a process chamber as a film formation gas.
  • These supply passages are connected to a nozzle at their lower ends, from which a liquid material and a carrier gas are delivered to atomize the liquid material into mist by means of a nebulizer action. Then, the atomized liquid material is heated and thereby vaporized.
  • the pressure inside the vaporizing chamber needs to be lower than a pressure limit value for vaporizing the liquid material, and thus, conventionally, the pressure inside the vaporizing chamber is preferably set to be as low as possible.
  • a liquid material comprising a solid material, such as Sr(THD) 2 (strontium bistetramethyl-heptanedionato) or Ti(MPD)(THD) 2 (titanium methylpentanedionatobistetramethylheptanedionato), or a high viscosity liquid source, such as Sr(METHD) 2 (strontium bismethoxyethoxytetramethylheptanedionato), dissolved in a solvent.
  • the pressure inside the vaporizing chamber is monitored by the pressure sensor, so that, when a decrease in the pressure inside the vaporizing chamber is detected due to progress of clogging in the tip of the nozzle, the liquid material is stopped to interrupt the film formation process. Then, a solvent that has a vaporizing temperature higher than the solvent of the liquid material is supplied into the feed line to stop drying of the interior of the feed line and to clean the interior of the feed line by dissolving an organic metal derived from the liquid material and precipitated due to a decrease in the pressure inside the vaporizing chamber. Consequently, the tip of the feed line can be prevented from being completely clogged, so as to eliminate a working operation time of about two days for replacing the feed line, thereby improving the productivity.
  • Patent Document 1 when a decrease in the pressure inside the vaporizing chamber is detected during a film formation process, the substrate being treated by the film formation process is suspended halfway. Then, this substrate is discarded, because this substrate may be contaminated with particles of e.g., the organic metal precipitated due to a decrease in the pressure inside the vaporizing chamber. Accordingly, the invention disclosed in Patent Document 1 can safely stop the apparatus to prevent the feed line from being completely clogged when the pressure inside the vaporizing chamber is lowered, but needs to discard the substrate being treated by the film formation process and to reset the process with a new substrate.
  • An object of the present invention is to provide a semiconductor processing system including a vaporizer for generating a process gas from a liquid material, and a method for using the system, which can prevent nozzle clogging of the vaporizer, thereby stably performing a semiconductor process, such as a film formation process, without stopping the semiconductor process.
  • a semiconductor processing system comprising a semiconductor processing apparatus and a gas supply apparatus for supplying a process gas into the semiconductor processing apparatus, the semiconductor processing apparatus comprising: a process chamber configured to accommodate a target substrate; a support member configured to support the target substrate inside the process chamber; a heater configured to heat the target substrate inside the process chamber; and an exhaust mechanism configured to exhaust gas from inside the process chamber, and the gas supply apparatus comprising: a container that forms a vaporizing chamber configured to vaporize a liquid material; a nozzle configured to atomize the liquid material by a carrier gas and to supply the liquid material into the vaporizing chamber; a liquid material supply passage configured to supply the liquid material to the nozzle; a carrier gas supply passage configured to supply the carrier gas to the nozzle; a heater configured to heat an interior of the vaporizing chamber to vaporize the liquid material thus atomized and thereby to generate the process gas; a gas supply passage configured to supply the process gas from the vaporizing chamber to the process chamber
  • a method for using a semiconductor processing system comprising a semiconductor processing apparatus and a gas supply apparatus for supplying a process gas into the semiconductor processing apparatus
  • the semiconductor processing apparatus comprising: a process chamber configured to accommodate a target substrate; a support member configured to support the target substrate inside the process chamber; a heater configured to heat the target substrate inside the process chamber; and an exhaust mechanism configured to exhaust gas from inside the process chamber
  • the gas supply apparatus comprising: a container that forms a vaporizing chamber configured to vaporize a liquid material; a nozzle configured to atomize the liquid material by a carrier gas and to supply the liquid material into the vaporizing chamber; a liquid material supply passage configured to supply the liquid material to the nozzle; a carrier gas supply passage configured to supply the carrier gas to the nozzle; a heater configured to heat an interior of the vaporizing chamber to vaporize the liquid material thus atomized and thereby to generate the process gas; a gas supply passage configured to supply the process gas from the vaporizing chamber
  • FIG. 1 is a structural view schematically showing a semiconductor processing system (film formation system) according to a first embodiment of the present invention, which includes a semiconductor processing apparatus and a gas supply apparatus;
  • FIG. 2 is a sectional side view showing a vaporizer used in the gas supply apparatus of the system shown in FIG. 1 ;
  • FIG. 3 is a view showing changes of the pressure inside the vaporizing chamber of the vaporizer shown in FIG. 2 ;
  • FIG. 4 is a structural view schematically showing a semiconductor processing system according to a second embodiment of the present invention.
  • FIG. 5 is a structural view schematically showing a semiconductor processing system according to a third embodiment of the present invention.
  • FIG. 1 is a structural view schematically showing a semiconductor processing system (film formation system) according to a first embodiment of the present invention.
  • FIG. 2 is a sectional side view showing a vaporizer used in the gas supply apparatus of the system shown in FIG. 1 .
  • the film formation system 1 according to this embodiment includes a film formation processing apparatus (semiconductor processing apparatus) 10 for performing a predetermined film formation process on target substrates, such as semiconductor wafers (which may be simply referred to as wafers), and a gas supply apparatus 20 for supplying a predetermined process gas into the film formation processing apparatus 10 .
  • a film formation processing apparatus semiconductor processing apparatus
  • gas supply apparatus 20 for supplying a predetermined process gas into the film formation processing apparatus 10 .
  • the film formation section 10 comprises a low-pressure CVD apparatus of the batch type having a vertical reaction tube 11 used as a reaction chamber (process chamber).
  • a wafer boat 12 that can support a number of wafers W is loaded and unloaded into and from the reaction tube 11 .
  • a heater 13 is disposed around the reaction tube 11 to heat the wafers W.
  • a vacuum pump 15 used as vacuum exhaust means is disposed to maintain the interior of the reaction tube 11 at a predetermined vacuum level.
  • a process gas supply line 30 is connected to the reaction tube 11 to supply a predetermined process gas, as described later, to perform a predetermined film formation process on the wafers.
  • the gas supply apparatus 20 includes a storage section 21 that stores liquid materials and a solvent used for the liquid materials, a vaporizer 200 for vaporizing a liquid material supplied from the storage section 21 , and piping lines connecting these members.
  • the storage section 21 includes a first storage vessel 21 a and a second storage vessel 21 b that store different liquid materials and a third storage vessel 21 c that stores a solvent used for the liquid materials.
  • the liquid material stored in the first storage vessel 21 a is formed of, e.g., a solid material of Sr(THD) 2 dissolved in THF (Tetrahydrofuran) serving as a solvent.
  • the liquid material stored in the second storage vessel 21 b is formed of, e.g., Ti(MPD)(THD) 2 dissolved in the solvent.
  • These liquid materials are alternately vaporized and supplied to perform a film formation process according to this embodiment. However, these liquid materials may be mixed and supplied to perform a film formation process. Alternatively, these liquid materials may be separately supplied to perform different processes.
  • a collecting line 23 is connected to the first storage vessel 21 a through a first source material supply line 22 a , to the second storage vessel 21 b through a second source material supply line 22 b , and to the third storage vessel 21 c through a solvent supply line 22 c .
  • Each of the liquid materials is supplied through the collecting line 23 and a valve V 12 into the vaporizer 200 .
  • the solvent can be supplied into the vaporizer 200 to perform cleaning.
  • These supply lines 22 a , 22 b , and 22 c are provided with valves V 1 to V 6 and flow rate regulators M 1 to M 3 .
  • the solvent supply line 22 c is connected to the first and second source material supply lines 22 a and 22 b through connection lines 24 respectively provided with valves V 7 and V 8 .
  • the supply lines 22 a , 22 b , and 22 c may be provided with a temperature adjusting mechanism that surrounds the lines to set the liquid materials and solvent at a constant temperature, such as about 40° C.
  • a pressurized gas supply line 25 is connected to the first storage vessel 21 a , second storage vessel 21 b , and third storage vessel 21 c through valves V 9 to V 11 , respectively.
  • the other end of the pressurized gas supply line 25 is connected to a pressurized gas supply source (not shown) for supplying a pressurized gas, such as helium (He) gas.
  • a pressurized gas such as helium (He) gas.
  • the collecting line 23 is used for supplying the liquid materials during a film formation process, and thus can be also called a liquid material supply line 23 .
  • the liquid material supply line 23 is connected to the vaporizer 200 through a cooling block 40 .
  • the cooling block 40 is configured to selectively cool each of the liquid materials to a temperature lower than the boiling temperature of its solvent, such as 10° C., when the liquid material is supplied into the nozzle 50 .
  • a carrier gas supply line 26 (carrier gas passage) is disposed to extend also through the cooling block 40 .
  • the carrier gas supply line 26 is connected to a carrier gas supply section 60 and is provided with a flow rate regulator M 4 and a valve V 13 .
  • the vaporizer 200 comprises a container 201 forming a vaporizing chamber, and a nozzle 50 airtightly attached to the opening at the top of the container 201 .
  • the liquid material supply line 23 and carrier gas supply line 26 are connected to the nozzle 50 .
  • the nozzle 50 is of a spray-type having a double tube structure formed of inner and outer tubes.
  • the inner tube discharges a liquid material supplied from the liquid material supply line 23
  • the outer tube discharges nitrogen gas (or another inactive gas, such as Ar or He) used as an atomizing gas supplied from the carrier gas supply line 26 .
  • nitrogen gas or another inactive gas, such as Ar or He
  • Each of the liquid materials is delivered in an atomized state (as mist) into the container 201 from a spray port 50 a (having a hole diameter of, e.g., 0.25 mm) at the distal end of the nozzle 50 .
  • the container 201 has an output port 202 formed in the sidewall at a lower position to output a process gas that is vaporized part of the liquid material.
  • the container 201 further has a drain port 203 formed in the bottom to discharge non-vaporized part of the liquid material.
  • the container 201 includes heaters 204 embedded in the wall and formed of, e.g., resistive heating bodies for heating the interior of the vaporizing chamber.
  • the container 201 further includes a lower heat-exchange block 205 disposed on the bottom, which is formed of a cylindrical block and provided with heaters embedded therein.
  • the output port 202 is airtightly connected to the process gas supply line (gas supply passage) 30 .
  • the drain port 203 is connected to an exhaust pump 80 through a mist discharge line 27 provided with a valve V 14 .
  • a temperature detector 206 and a pressure detector 70 are disposed to respectively detect the temperature and pressure inside the container 201 (vaporizing chamber) of the vaporizer 200 .
  • a power supply 207 is connected to the heaters 204 and lower heat-exchange block 205 and its supply power is controlled by a control section 90 described later with reference to the detection signal from the temperature detector 206 .
  • the process gas supply line 30 is provided with a valve V 15 and a regulator valve (serving as pressure adjusting means) VT 1 .
  • the regulator valve VT 1 is used for adjusting the flow rate of the process gas supplied through the process gas supply line 30 .
  • the regulator valve VT 1 is controlled by the control section 90 comprising, e.g., a computer disposed in the gas supply apparatus 20 .
  • the process gas supply line 30 is connected to the exhaust pump 80 through an exhaust line 28 provided with a valve V 16 .
  • the film formation system 1 further includes a main control section 92 formed of, e.g., a computer to control the entire system.
  • the main control section 92 can control a film formation process as described below in accordance with process recipes stored in the storage section thereof in advance, with reference to the film thickness and composition of a film to be formed.
  • the relationship between the process gas flow rates and the thickness and composition of the film is also stored as control data in advance. Accordingly, the main control section 92 can control the respective components of the film formation processing apparatus 10 and gas supply apparatus 20 , based on the stored process recipes and control data.
  • Examples of a storage medium are a magnetic disk (flexible disk, hard disk (a representative of which is a hard disk included in the storage section), etc.), an optical disk (CD, DVD, etc.), a magneto-optical disk (MO, etc.), and a semiconductor memory.
  • FIG. 3 is a view for explaining an operation and effect of the regulator valve VT 1 .
  • argon (Ar) gas was supplied as a carrier gas at 0.5 slm or 0.3 slm
  • a liquid material prepared by dissolving Sr(THD) 2 in THF solvent was supplied at different values of the flow rate.
  • the pressure inside the vaporizing chamber of the vaporizer was measured to examine the change of the pressure.
  • the line La denotes the pressure inside the vaporizing chamber and the broken line Lb denotes the set flow rate of the liquid material.
  • the pressure inside the vaporizing chamber needs to be lower than an upper limit corresponding to a pressure for vaporizing a liquid material. Accordingly, this measurement was performed in light of this fact.
  • the flow rate of the liquid material was set at around 1.0 sccm, as shown by the broken line Lb.
  • the pressure inside the vaporizing chamber became unstable, as seen in the strenuous pulsating movement of the line La.
  • the flow rate of the liquid material was set at 3.0 sccm or more, as shown by the broken line Lb.
  • the pressure inside the vaporizing chamber became stable, as seen in the almost flat state of the line La.
  • the flow rate of argon gas was decreased to 0.3 slm, and the liquid material was supplied at about 1.0 sccm.
  • the pressure inside the vaporizing chamber became unstable, as seen in the strenuous pulsating movement of the line La. This pulsating movement was more active as compared to the case where argon gas was supplied at 0.5 slm and the liquid material was supplied at about 0.5 sccm.
  • the film formation system 1 is arranged such that the pressure inside the vaporizing chamber is monitored to detect a decrease in the pressure by the pressure detector 70 . Then, the pressure inside the vaporizing chamber is adjusted by the regulator valve VT 1 to prevent the pressure from becoming unstable with violent fluctuations. For example, a predetermined pressure range may be written in a process recipe, and read out when the process recipe is selected by the main control section 92 .
  • the pressure inside the vaporizing chamber may be provided with a predetermined pressure range defined by an upper limit at about 4 kPa (30 Torr), which is lower than the pressure limit value for vaporizing the liquid material, and a lower limit at about 2 kPa (15 Torr), which is higher than the pressure limit value where the inner pressure starts causing violent fluctuations.
  • control section 90 reads out a predetermined pressure range from a selected recipe, and compares the pressure detection value obtained by the pressure detector 70 with the predetermined pressure range during the film formation.
  • the opening degree of the regulator valve VT 1 is set smaller to decrease the flow rate of the process gas supplied through the process gas supply line 30 .
  • the opening degree of the regulator valve VT 1 is set larger by a predetermined amount. Consequently, the process gas decreases its staying amount inside vaporizing chamber to decrease the pressure inside the vaporizing chamber, so as not to exceed the pressure limit value for vaporizing the liquid material.
  • the pressure inside the vaporizing chamber is controlled as follows. Specifically, for a predetermined liquid material, a first limit value is obtained at which vaporization of the liquid material starts being inhibited due to an increase in the pressure inside the vaporizing chamber.
  • the first limit value may be obtained from experimental data or document data.
  • a second limit value is obtained at which vaporization of the liquid material starts being unstable and the pressure inside the vaporizing chamber starts pulsating movement (oscillation known as hunting) due to a decrease in the pressure inside the vaporizing chamber.
  • the second limit value is preferably obtained from experimental data.
  • a predetermined pressure range is determined such that it is defined by an upper limit lower than the first limit value and a lower limit higher than the second limit value.
  • the lower limit of the predetermined pressure range is conceived to prevent the solvent of the liquid material from being boiled in the tip of the nozzle thereby causing nozzle clogging and/or spray abnormality.
  • the second limit value can be said to be a pressure at which the solvent of the liquid material stars being boiled in the tip of the nozzle due to a decease in the pressure.
  • the liquid material is cooled to a predetermined temperature by the cooling block 40 immediately before the nozzle 50 , and so the lower limit of the predetermined pressure range can be preset with reference to the pressure at which the solvent of the liquid material stars being boiled at the predetermined temperature.
  • the predetermined pressure range is preferably set to be wider, as long as the supply of the process gas is adversely affected.
  • studies were made in relation to liquid materials for forming high-dielectric constant thin film, prepared by dissolving various solid source materials in various solvents. As a result common to such liquid materials, it has been found that presetting the lower limit to be 40% to 60% of the upper limit provides a pressure range that can reliably prevent the hunting while realizing an efficient vaporizing process.
  • the predetermined pressure range is stored as, e.g., part of a process recipe in a storage portion from which the main control section 92 reads out data.
  • the control section 90 is used to control an operation of the pressure adjusting mechanism (utilizing the regulator valve VT 1 in the first embodiment) with reference to the pressure detection value of the pressure detector 70 to cause the pressure inside the vaporizing chamber to fall within the predetermined pressure range.
  • a film formation method performed in the film formation system 1 is performed in the film formation processing apparatus 10 .
  • a predetermined number of wafers W are placed on the wafer boat 12 and are loaded into the reaction tube 11 .
  • the interior of the reaction tube 11 is stabilized at a predetermined temperature and a predetermined pressure.
  • the process gas is supplied into the film formation processing apparatus 10 .
  • helium (He) used as a pressurized gas is supplied from the pressurized gas supply source (not shown) into the first storage vessel 21 a , and a liquid material is supplied through the liquid material supply line 23 and cooling block 40 while the flow rate of the liquid material is adjusted by the flow rate regulator M 1 .
  • the liquid material is cooled by the cooling block 40 and is then supplied into the nozzle 50 . Further, a carrier gas, such as argon (Ar) gas, is supplied from the carrier gas supply section 60 through the cooling block 40 into the nozzle 50 . Consequently, the liquid material set at a flow rate of, e.g., 5 sccm is sprayed as mist from the nozzle 50 into the vaporizing chamber.
  • a carrier gas such as argon (Ar) gas
  • the interior of the vaporizing chamber is heated by the heaters 204 and lower heat-exchange block 205 at, e.g., 150° C.
  • the liquid material supplied as mist from the nozzle 50 is uniformly atomized and flows through the vaporizing space defined by the lower heat-exchange block 205 and container 201 . Consequently, the liquid material is vaporized by heat exchange inside the container 201 into the process gas, which then flows out through the output port 202 into the process gas supply line 30 .
  • mist mixed within the process gas does not change direction, but flows downward due to an inertia force based on its own large weight. Consequently, the mist is separated from the process gas and reaches the bottom of the container 201 .
  • the mist is accumulated and agglomerated to change into a liquid phase and is then solidified at the bottom of the container 201 .
  • the solidified by-product thus trapped at the bottom of the container 201 is treated in a certain maintenance cycle such that the temperature of the vaporizer 200 is decreased and a solvent is supplied into the container 201 to dissolve the by-product and discharge it from the drain port 203 . Since the drain port 203 is formed at a position lower than the output port 202 , no drainage liquid flows into the output port 202 .
  • the process gas is supplied from the vaporizer 200 through the process gas supply line 30 into the film formation processing apparatus 10 .
  • the reaction tube 11 is further connected to an ozone gas supply unit (not shown).
  • Sr vapor is first supplied from the vaporizer 200 , so that Sr gas molecules are adsorbed on the wafers. Then, supply of the Sr liquid material is stopped, and a purge step of the vaporizer 200 is performed by use of an inactive gas.
  • the reaction tube 11 of the film formation section 10 may be vacuum-exhausted.
  • O 3 ozone
  • Sr gas molecules on the wafers W are oxidized and thereby form an SrO molecular layer.
  • supply connection to the vaporizer 200 is switched from the first storage vessel 21 a to the second storage vessel 21 b , and Ti vapor is supplied from the vaporizer 200 , so that Ti gas molecules are adsorbed on the wafers.
  • supply of the Ti liquid material is stopped, and a purge step of the vaporizer 200 is performed by use of an inactive gas.
  • O 3 (ozone) is supplied from the ozone gas supply unit, so that Ti gas molecules on the wafers W are oxidized and thereby form a TiO molecular layer.
  • SrO molecular layers and TiO molecular layers are laminated to form an STO (strontium titanate) film with a predetermined thickness.
  • the pressure inside the vaporizing chamber is monitored by the pressure detector 70 .
  • the opening degree of the regulator valve VT 1 is set smaller than the initial value by the control section 90 to raise the pressure inside the vaporizing chamber.
  • the opening degree of the regulator valve VT 1 is set larger by the control section 90 with a predetermined amount, such as an amount to reach or not to reach the initial value. Consequently, even if the pressure inside the vaporizing chamber is lowered during the film formation process, the pressure is caused to fall within a pressure range for stably vaporizing the liquid material, and so the film formation process can be stably kept performed.
  • the interior of the vaporizer 200 and process gas supply line 30 is purged by use of a gas, such as nitrogen gas, to completely remove the liquid materials left inside the vaporizer 200 .
  • a cleaning process may be periodically performed inside the vaporizer 200 and supply lines.
  • the solvent stored in the third storage vessel 21 c is supplied through the solvent supply line 22 c and connection line 24 into the first source material supply line 22 a and second source material supply line 22 b .
  • the solvent is supplied through the liquid material supply line 23 and nozzle 50 into the vaporizer 200 . Consequently, liquid-phase substances and solid-phase substances derived from the liquid materials and deposited inside the supply lines and container 201 are dissolved and washed out by the solvent.
  • the solvent used for the cleaning is discharged out of the system through the drain port 203 and mist discharge line 27 .
  • the pressure inside the vaporizing chamber of the vaporizer 200 is monitored by the pressure detector 70 .
  • the regulator valve VT 1 on the process gas supply line 30 is adjusted to raise the pressure inside the container 201 , so as to prevent vaporization of a liquid material from becoming unstable.
  • the film formation system 1 thus arranged can prevent the vaporizing efficiency from being deteriorated due to clogging in the tip of the nozzle 50 and/or spray abnormality, and also can prevent particle contamination from being caused due to an increase in residues.
  • a predetermined pressure range can be present in accordance with a process recipe between a lower pressure at which the pressure inside the vaporizing chamber becomes unstable and an upper pressure at which vaporization of the liquid material starts being inhibited, so that feedback control is performed to cause the pressure detection value to fall with the predetermined pressure range.
  • FIG. 4 is a structural view schematically showing a semiconductor processing system according to a second embodiment of the present invention.
  • the film formation system 101 according to the second embodiment is arranged to control the pressure inside the vaporizing chamber by adjusting the flow rate of the solvent supplied to the liquid material supply line 23 by use of the flow rate regulator (serving as pressure adjusting means) M 3 disposed on the solvent supply line 22 c .
  • this arrangement is an alternative to the arrangement of the first embodiment which controls the pressure by use of the regulator valve VT 1 disposed on the process gas supply line 30 .
  • the film formation system 101 When the film formation system 101 is normally performs a process, it operates in the same way as the film formation system 1 according to the first embodiment.
  • the opening degree of the flow rate regulator M 3 is set at zero so as not to supply the solvent from the solvent supply line 22 c to liquid material supply line 23 .
  • a control section 190 reads out a predetermined pressure range from a selected recipe, and compares the pressure detection value obtained by the pressure detector 70 with the predetermined pressure range during the film formation. When the pressure inside the vaporizing chamber is lowered to the lower limit of the predetermined pressure range, the control section 190 controls the flow rate regulator M 3 to start supplying the solvent into the vaporizing chamber while increasing the flow rate of the solvent.
  • the flow rate of the process gas flowing out of the vaporizing chamber is unchanged, the flow rate of the solvent supplied into the vaporizing chamber is increased. Consequently, the vaporized solvent prolongs its staying time and is more retained inside vaporizing chamber to increase the pressure inside the vaporizing chamber.
  • the opening degree of the flow rate regulator M 3 is set smaller by a predetermined amount, such that it is completely or not completely closed. In other words, an increase in the supply of the solvent is cancelled by the flow rate regulator M 3 . Consequently, the vaporized solvent decreases its staying amount inside vaporizing chamber to decrease the pressure inside the vaporizing chamber, so as not to exceed the pressure limit value for vaporizing the liquid material.
  • the flow rate regulator M 3 on the solvent supply line 22 c is adjusted to raise the pressure inside the container 201 , so as to prevent vaporization of a liquid material from becoming unstable.
  • the second embodiment can provide the same function and effect as those of the first embodiment.
  • FIG. 5 is a structural view schematically showing a semiconductor processing system according to a third embodiment of the present invention.
  • the film formation system 1001 according to the third embodiment is arranged to control the pressure inside the vaporizing chamber by adjusting the flow rate of the carrier gas supplied into the vaporizing chamber by use of the flow rate regulator (serving as pressure adjusting means) M 4 disposed on the carrier gas supply line 26 . Accordingly, this arrangement is an alternative to the arrangement of the first embodiment which controls the pressure by use of the regulator valve VT 1 disposed on the process gas supply line 30 .
  • the film formation system 1001 When the film formation system 1001 is normally performs a process, it operates in the same way as the film formation system 1 according to the first embodiment.
  • the opening degree of the flow rate regulator M 4 is set at 50%, so as to supply the carrier gas from the carrier gas supply line 26 at a small flow rate.
  • a control section 1090 reads out a predetermined pressure range from a selected recipe, and compares the pressure detection value obtained by the pressure detector 70 with the predetermined pressure range during the film formation. When the pressure inside the vaporizing chamber is lowered to the lower limit of the predetermined pressure range, the control section 1090 controls the flow rate regulator M 4 to increase the flow rate of the carrier gas into the vaporizing chamber. Thus, while the flow rate of the process gas flowing out of the vaporizing chamber is unchanged, the flow rate of the carrier gas supplied into the vaporizing chamber is increased.
  • the carrier gas prolongs its staying time and is more retained inside vaporizing chamber to increase the pressure inside the vaporizing chamber.
  • the opening degree of the flow rate regulator M 4 is set smaller by a predetermined amount, such as an amount to reach or not to reach the initial value. In other words, an increase in the supply of the carrier gas is cancelled by the flow rate regulator M 4 . Consequently, the carrier gas decreases its staying amount inside vaporizing chamber to decrease the pressure inside the vaporizing chamber, so as not to exceed the pressure limit value for vaporizing the liquid material.
  • the third embodiment when the pressure inside the vaporizing chamber is lowered to the lower limit of the predetermined pressure range, the flow rate regulator M 4 on the carrier gas supply line 26 is adjusted to raise the pressure inside the container 201 , so as to prevent vaporization of a liquid material from becoming unstable.
  • the third embodiment can provide the same function and effect as those of the first embodiment.
  • the regulator valve VT 1 or flow rate regulator M 3 or M 4 is used to adjust the pressure inside the container 201 .
  • flow rate control is performed by the regulator valve VT 1 or flow rate regulator M 3 or M 4 to cause the pressure inside the container 201 to fall within the predetermined pressure range.
  • the film formation system 1 , 101 , or 1001 can prevent the pressure inside the container 201 from becoming too low without stopping the film formation process. Further, the system can prevent the vaporizing efficiency from being deteriorated due to clogging in the tip of the nozzle 50 and/or spray abnormality, and also can prevent particle contamination from being caused due to an increase in residues. Consequently, vaporization of the liquid material can be stably performed, so that the film formation process is not interrupted but stably kept performed.
  • pressure data transmitted from the pressure detector 70 is used to judge whether abnormality of the pressure inside the container 201 is canceled.
  • cancellation of such abnormality can be judged by use of a preset value of a parameter used for adjusting the pressure inside the vaporizing chamber, such as the flow rate of the solvent or carrier gas.
  • a preset value of a parameter corresponding to cancellation of abnormality is written in a table readable by the control section, and, when the pressure inside the vaporizing chamber becomes abnormal, the parameter, such as the flow rate of the solvent or carrier gas, is adjusted until the parameter reaches the preset value.
  • the film formation is performed by an ALD process.
  • film formation may be performed by use of a single liquid material in the process, or film formation may be performed by use of a process gas derived from a mixture of liquid materials.
  • the opening degree of the regulator valve VT 1 or flow rate regulator M 3 or M 4 is adjusted by one step by the control section.
  • the opening degree of the regulator valve or flow rate regulator may be gradually adjusted to gradually change the flow rate of the process gas, solvent, or carrier gas.
  • a one-step change in the opening degree to be made in each step may be predetermined, so that the flow rate is adjusted in accordance with this one-step change.
  • the pressure detection value is compared with the predetermined pressure range. If the pressure inside the vaporizing chamber is still out of the predetermined pressure range, the opening degree of the regulator valve or flow rate regulator is further adjusted with the one-step change to adjust the flow rate of the process gas, solvent, or carrier gas.
  • the regulator valve VT 1 may be maintained in a state where the flow rate of the process gas is decreased even after the pressure inside the container 201 is adjusted to fall within the predetermined pressure range.
  • the regulator valve VT 1 may be opened to return the flow rate of the process gas to the normal state after the pressure inside the container 201 is adjusted to fall within the predetermined pressure range.
  • the flow rate regulator M 3 or M 4 may be maintained in a state where the flow rate of the solvent or carrier gas is increased even after the pressure inside the container 201 is adjusted to fall within the predetermined pressure range.
  • the flow rate regulator M 3 or M 4 may be retuned to the initial state to return the flow rate of the solvent or carrier gas to the normal state after the pressure inside the container 201 is adjusted to fall within the predetermined pressure range.
  • the pressure detector 70 is connected to the vaporizer 200 .
  • the pressure detector may be connected to a portion of the process gas supply line 30 upstream from the valve V 15 , as long as it can detect the pressure inside the container 201 .
  • helium (He) gas is supplied as a the pressurized gas.
  • another inactive gas such as nitrogen (N 2 ) gas or argon (Ar) gas, may be used in place of helium gas.
  • the heaters 204 includes two heaters on the output port 202 side and two heaters on the other side opposite to the output port 202 , wherein these heaters 204 are connected to the power supply 207 .
  • the number of heaters may be three or less or five or more, as long as they can uniformly heat the inner wall of the vaporizing chamber. Further, for example, heating of the heaters may be controlled by one temperature controller.
  • the set of two heaters on the output port side and the set of two heaters on the opposite side may be controlled independently of each other. Alternatively, the four heaters may be controlled independently of each other.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
US12/318,971 2008-01-18 2009-01-13 Semiconductor processing system including vaporizer and method for using same Abandoned US20090186479A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/088,028 US9159548B2 (en) 2008-01-18 2013-11-22 Semiconductor processing system including vaporizer and method for using same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008009710A JP5200551B2 (ja) 2008-01-18 2008-01-18 気化原料供給装置、成膜装置及び気化原料供給方法
JP2008-009710 2008-01-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/088,028 Division US9159548B2 (en) 2008-01-18 2013-11-22 Semiconductor processing system including vaporizer and method for using same

Publications (1)

Publication Number Publication Date
US20090186479A1 true US20090186479A1 (en) 2009-07-23

Family

ID=40876814

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/318,971 Abandoned US20090186479A1 (en) 2008-01-18 2009-01-13 Semiconductor processing system including vaporizer and method for using same
US14/088,028 Active 2029-03-01 US9159548B2 (en) 2008-01-18 2013-11-22 Semiconductor processing system including vaporizer and method for using same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/088,028 Active 2029-03-01 US9159548B2 (en) 2008-01-18 2013-11-22 Semiconductor processing system including vaporizer and method for using same

Country Status (5)

Country Link
US (2) US20090186479A1 (zh)
JP (1) JP5200551B2 (zh)
KR (1) KR101103119B1 (zh)
CN (1) CN101488449B (zh)
TW (1) TWI434364B (zh)

Cited By (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070079760A1 (en) * 2005-10-06 2007-04-12 Tsuneyuki Okabe Vaporizer and semiconductor processing system
US20080245306A1 (en) * 2007-03-29 2008-10-09 Ken Nakao Vaporizer and semiconductor processing system
US20080273893A1 (en) * 2007-03-28 2008-11-06 Tokyo Electron Limited Substrate transfer member cleaning method, substrate transfer apparatus, and substrate processing system
US20110124201A1 (en) * 2007-07-30 2011-05-26 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
US20110143035A1 (en) * 2009-12-16 2011-06-16 Byoung Ha Cho Thin Film Deposition System and Method for Depositing Thin Film
WO2011101361A1 (de) * 2010-02-19 2011-08-25 Aixtron Se Gasmischer für dampfabscheidung
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2012175334A3 (de) * 2011-06-22 2013-04-11 Aixtron Se Verfahren und vorrichtung zum abscheiden
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
EP2657957A1 (en) * 2010-12-21 2013-10-30 Kabushiki Kaisha Watanabe Shoko Vaporizer
US20150368794A1 (en) * 2013-02-05 2015-12-24 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US20200123654A1 (en) * 2018-02-08 2020-04-23 Kokusai Electric Corporation Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
TWI754459B (zh) * 2019-12-16 2022-02-01 日商富士金股份有限公司 氣化供給方法及氣化供給裝置
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US20220341037A1 (en) * 2019-09-24 2022-10-27 Tokyo Electron Limited Raw material supply apparatus and raw material supply method
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11560624B2 (en) 2018-08-31 2023-01-24 Applied Materials, Inc. Precursor delivery system
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12051567B2 (en) 2021-10-04 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011054938A (ja) * 2009-08-07 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び液体流量制御装置の動作確認方法
JP2013503256A (ja) * 2009-08-26 2013-01-31 株式会社テラセミコン 蒸着ガス供給装置
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) * 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
CN103000514B (zh) * 2011-09-09 2015-06-03 上海华虹宏力半导体制造有限公司 亚常压化学气相沉积法设备气化阀堵塞的检测方法
JP5938932B2 (ja) * 2012-02-14 2016-06-22 セイコーエプソン株式会社 ハンドラー、及び部品検査装置
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
KR101562659B1 (ko) 2013-09-13 2015-10-22 주식회사 지에스티에스 안정실 압력 제어 장치
KR102244073B1 (ko) * 2014-09-16 2021-04-26 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 이를 이용한 표시 장치의 제조 방법
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
KR102483924B1 (ko) 2016-02-18 2023-01-02 삼성전자주식회사 기화기 및 이를 구비하는 박막 증착 장치
WO2018155415A1 (ja) * 2017-02-21 2018-08-30 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
JP6811146B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
JP6811147B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
CN110565076B (zh) * 2018-06-06 2021-10-15 北京北方华创微电子装备有限公司 补液方法
KR102262514B1 (ko) * 2019-06-10 2021-06-09 (주)티티에스 원료 기화 장치 및 방법
KR102125171B1 (ko) * 2019-07-19 2020-06-19 연세대학교 산학협력단 다공성 막의 제조 방법 및 이의 제조 장치
JP7033622B2 (ja) * 2020-03-19 2022-03-10 株式会社Kokusai Electric 気化装置、基板処理装置、クリーニング方法および半導体装置の製造方法
KR102438237B1 (ko) * 2021-01-26 2022-08-30 엠케이피 주식회사 액체 소스 공급 시스템 및 이를 이용한 액체 소스 공급 방법
JP2023039234A (ja) 2021-09-08 2023-03-20 東京エレクトロン株式会社 原料供給装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050045099A1 (en) * 2003-08-27 2005-03-03 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20060275948A1 (en) * 2005-06-01 2006-12-07 Yukichi Takamatsu Process for forming zinc oxide film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09143691A (ja) * 1995-11-22 1997-06-03 Tokyo Electron Ltd 成膜・熱処理装置
JP3258885B2 (ja) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
JPH10273780A (ja) * 1997-03-31 1998-10-13 Nippon Sanso Kk Cvd装置
JPH11193463A (ja) * 1997-12-26 1999-07-21 Nissan Motor Co Ltd 化学的気相成長装置
JPH11335846A (ja) 1998-05-20 1999-12-07 Ebara Corp 成膜装置及びその運転方法
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
JP2002324794A (ja) * 2001-04-26 2002-11-08 Fujitsu Ltd 気相成長方法及び気相成長装置
JP2003160869A (ja) * 2001-11-27 2003-06-06 Japan Science & Technology Corp 液体気化器、液体気化供給システム及び液体気化制御方法
JP2005307233A (ja) * 2004-04-19 2005-11-04 Tokyo Electron Ltd 成膜装置及び成膜方法及びプロセスガスの供給方法
JP4299286B2 (ja) * 2005-10-06 2009-07-22 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法
JP4324619B2 (ja) 2007-03-29 2009-09-02 東京エレクトロン株式会社 気化装置、成膜装置及び気化方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050045099A1 (en) * 2003-08-27 2005-03-03 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20060275948A1 (en) * 2005-06-01 2006-12-07 Yukichi Takamatsu Process for forming zinc oxide film

Cited By (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070079760A1 (en) * 2005-10-06 2007-04-12 Tsuneyuki Okabe Vaporizer and semiconductor processing system
US8382903B2 (en) * 2005-10-06 2013-02-26 Tokyo Electron Limited Vaporizer and semiconductor processing system
US20080273893A1 (en) * 2007-03-28 2008-11-06 Tokyo Electron Limited Substrate transfer member cleaning method, substrate transfer apparatus, and substrate processing system
US20080245306A1 (en) * 2007-03-29 2008-10-09 Ken Nakao Vaporizer and semiconductor processing system
US8197600B2 (en) * 2007-03-29 2012-06-12 Tokyo Electron Limited Vaporizer and semiconductor processing system
US20110124201A1 (en) * 2007-07-30 2011-05-26 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
US8551564B2 (en) 2007-07-30 2013-10-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
US8225745B2 (en) * 2007-07-30 2012-07-24 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
US20130276700A1 (en) * 2009-08-28 2013-10-24 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US9169560B2 (en) * 2009-08-28 2015-10-27 Mitsubishi Materials Corporation Apparatus for producing polycrystalline silicon
US20110143035A1 (en) * 2009-12-16 2011-06-16 Byoung Ha Cho Thin Film Deposition System and Method for Depositing Thin Film
WO2011101361A1 (de) * 2010-02-19 2011-08-25 Aixtron Se Gasmischer für dampfabscheidung
KR101881617B1 (ko) * 2010-12-21 2018-07-24 가부시키가이샤 와타나베 쇼코 기화기
EP2657957A1 (en) * 2010-12-21 2013-10-30 Kabushiki Kaisha Watanabe Shoko Vaporizer
KR20140034123A (ko) * 2010-12-21 2014-03-19 가부시키가이샤 와타나베 쇼코 기화기
EP2657957A4 (en) * 2010-12-21 2014-05-28 Watanabe M & Co Ltd EVAPORATOR
WO2012175334A3 (de) * 2011-06-22 2013-04-11 Aixtron Se Verfahren und vorrichtung zum abscheiden
CN103620086A (zh) * 2011-06-22 2014-03-05 艾克斯特朗欧洲公司 沉积方法及装置
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10724137B2 (en) * 2013-02-05 2020-07-28 Kokusai Eletric Corporation Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US20150368794A1 (en) * 2013-02-05 2015-12-24 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20200123654A1 (en) * 2018-02-08 2020-04-23 Kokusai Electric Corporation Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11560624B2 (en) 2018-08-31 2023-01-24 Applied Materials, Inc. Precursor delivery system
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US20220341037A1 (en) * 2019-09-24 2022-10-27 Tokyo Electron Limited Raw material supply apparatus and raw material supply method
US11965242B2 (en) * 2019-09-24 2024-04-23 Tokyo Electron Limited Raw material supply apparatus and raw material supply method
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
TWI754459B (zh) * 2019-12-16 2022-02-01 日商富士金股份有限公司 氣化供給方法及氣化供給裝置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12051602B2 (en) 2021-04-29 2024-07-30 Asm Ip Holding B.V. Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12051567B2 (en) 2021-10-04 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit

Also Published As

Publication number Publication date
US9159548B2 (en) 2015-10-13
JP2009170800A (ja) 2009-07-30
CN101488449B (zh) 2012-05-23
US20140080320A1 (en) 2014-03-20
KR20090079819A (ko) 2009-07-22
TWI434364B (zh) 2014-04-11
JP5200551B2 (ja) 2013-06-05
CN101488449A (zh) 2009-07-22
TW200943456A (en) 2009-10-16
KR101103119B1 (ko) 2012-01-04

Similar Documents

Publication Publication Date Title
US9159548B2 (en) Semiconductor processing system including vaporizer and method for using same
US8415237B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US8197600B2 (en) Vaporizer and semiconductor processing system
US7883076B2 (en) Semiconductor processing system and vaporizer
JP3390517B2 (ja) 液体原料用cvd装置
US8382903B2 (en) Vaporizer and semiconductor processing system
US7547003B2 (en) Vaporizing apparatus and semiconductor processing system
US9777377B2 (en) Film forming method and film forming device
US20080168946A1 (en) Liquid supplying unit and method, facility for treating substrates with the unit, and method for treating substrates
KR101232688B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 액체 유량 제어 장치의 동작 확인 방법
US8614147B2 (en) Method of manufacturing a semiconductor device
US20080064227A1 (en) Apparatus For Chemical Vapor Deposition and Method For Cleaning Injector Included in the Apparatus
US7462245B2 (en) Single-wafer-processing type CVD apparatus
US8361550B2 (en) Method for forming SrTiO3 film and storage medium
WO2006088562A2 (en) A method and system for improved delivery of a precursor vapor to a processing zone
JP4759916B2 (ja) 処理装置
WO2006085425A1 (ja) 成膜方法及び成膜装置
JP4421119B2 (ja) 半導体装置の製造方法
JP4110576B2 (ja) Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
KR101773038B1 (ko) 기화기를 갖는 증착장치 및 증착방법
JP2007227471A (ja) 基板処理装置
JP2004055998A (ja) 半導体装置の製造方法及び半導体製造装置及びこれを用いた半導体装置
JP2011151294A (ja) 半導体装置の製造方法
JP2011066357A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OKABE, TSUNEYUKI;KATOH, HITOSHI;HIRAKA, JUNYA;AND OTHERS;REEL/FRAME:022448/0196;SIGNING DATES FROM 20090113 TO 20090115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION