WO2003083925A1 - Procede de formation d'un film isolant - Google Patents

Procede de formation d'un film isolant Download PDF

Info

Publication number
WO2003083925A1
WO2003083925A1 PCT/JP2003/004091 JP0304091W WO03083925A1 WO 2003083925 A1 WO2003083925 A1 WO 2003083925A1 JP 0304091 W JP0304091 W JP 0304091W WO 03083925 A1 WO03083925 A1 WO 03083925A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
film
forming
plasma
film according
Prior art date
Application number
PCT/JP2003/004091
Other languages
English (en)
French (fr)
Inventor
Takuya Sugawara
Yoshihide Tada
Genji Nakamura
Shigenori Ozaki
Toshio Nakanishi
Masaru Sasaki
Seiji Matsuyama
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2003221023A priority Critical patent/AU2003221023A1/en
Priority to US10/509,370 priority patent/US7446052B2/en
Priority to KR1020047012721A priority patent/KR100782954B1/ko
Publication of WO2003083925A1 publication Critical patent/WO2003083925A1/ja
Priority to US12/145,971 priority patent/US7662236B2/en
Priority to US12/647,902 priority patent/US20100096707A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12806Refractory [Group IVB, VB, or VIB] metal-base component

Definitions

  • the present invention provides a method of efficiently forming an insulating film having various characteristics (for example, controlling the thickness of an ultrathin film, high cleanliness, etc.) into a small footprint by performing various processes in a single reaction chamber. Simplicity of operation by performing various processes in a reaction chamber having the same operating principle, suppression of cross contamination between devices, etc.).
  • the method for manufacturing an electronic device material according to the present invention is preferably used for forming a material for a semiconductor or a semiconductor device (for example, a material having a MOS type semiconductor structure having a gate insulating film having excellent characteristics). It is possible. Background art
  • the thinning of the gate insulating film is approaching its limit as the miniaturization technology as described above advances, and the Challenges have emerged.
  • SiO 2 silicon oxide film
  • the minimum at the level of one or two atomic layers.
  • the leak current increases exponentially due to the direct tunnel due to the quantum effect, and the power consumption increases. Is a point.
  • the IT (information technology) market is changing from fixed electronic devices (devices that supply power from an outlet), such as desktop personal computers and home phones, to the Internet, etc., anytime, anywhere. It is about to transform into an accessible “ubiquitous' network society”. Therefore, in the very near future, mobile terminals such as mobile phones and power navigation systems are expected to become mainstream. Such mobile terminals are required to be high-performance devices themselves, but at the same time, the above-mentioned fixed devices In this case, it is assumed that it is equipped with functions that are not so required, such as small, light and long-term use. Therefore, in the mobile terminal, it is extremely important to reduce the power consumption while improving the performance.
  • An object of the present invention is to provide a method for forming a thin insulating film on a substrate for electronic devices, which solves the above-mentioned disadvantages of the prior art.
  • Another object of the present invention is to provide an insulating film excellent in both film quality and film thickness, which can be preferably subjected to subsequent processing (film formation by CVD or the like, etching, etc.)
  • An object of the present invention is to provide a method for forming a thin insulating film on the surface of a substrate for electronic devices.
  • the present inventors have formed an insulating film using a method capable of performing not only one step with one device as in the related art but also various processes with one device. This was found to be extremely effective in achieving the above objectives.
  • the method for forming an insulating film on the surface of a substrate for electronic devices according to the present invention is based on the above findings, and more specifically, in a process for forming an insulating film on a substrate for electronic devices, It is characterized in that two or more processes for controlling the film properties are performed under the same operating principle.
  • a cleaning effect is obtained by irradiating a plasma using a processing gas containing at least a rare gas to a substrate for an electronic device, or a similar plasma containing oxygen and nitrogen
  • the thickness of the insulating film can be reduced by containing at least hydrogen in the same plasma as the insulating film containing oxygen atoms, such as an oxide film, which performs oxidation or nitridation.
  • an insulating film of the present invention having the above structure, for example, after forming a film having an arbitrary thickness with emphasis on film quality, the film is thinned by a specific plasma treatment.
  • an insulating film having an arbitrary thickness can be easily obtained.
  • FIG. 1 is a schematic sectional view showing an example of a MOS structure that can be formed according to the present invention.
  • FIG. 2 is a partial schematic cross-sectional view showing an example of a semiconductor manufacturing apparatus that can be used in the method for forming an insulating film according to the present invention.
  • FIG. 3 is a plan view showing a planar antenna (which can be used in the method of forming an insulating film according to the present invention).
  • RLSA sometimes referred to as Slot Plane Antenna or SPA).
  • FIG. 4 is a schematic vertical sectional view showing an example of a plasma processing unit.
  • FIG. 4 is a schematic plan view showing an example of RLSA that can be used in the electronic device material manufacturing apparatus of the present invention.
  • FIG. 5 is a graph showing the leak characteristics of the oxide film when the pre-oxidation plasma treatment was performed and when the pre-oxidation plasma treatment was not performed.
  • the horizontal axis represents the electrical film thickness, and the vertical axis represents the leakage current value of the gate oxide film at the gate voltage Vfb—0.4 V.
  • Figure 6 shows the flat band characteristics of a similar film.
  • the horizontal axis is the electrical film thickness, and the vertical axis is the flat band voltage.
  • FIG. 7A shows a change with time in the electrical film thickness of the gate oxynitride film (change in the electrical film thickness in each step) using a plurality of steps (multi-process) in the present invention.
  • the horizontal axis is the processing time, and the vertical axis is the electrical film thickness.
  • Fig. 8b shows the change over time of the flat band voltage of the same film as in Fig. 6 (change of the flat band voltage in each process).
  • the horizontal axis is the processing time
  • the vertical axis is the flat band voltage.
  • FIG. 9 shows the results of SIMS analysis of the oxygen concentration in the film in the same film as in FIG.
  • the horizontal axis shows the etching time in the analysis, and the vertical axis shows the oxygen signal intensity.
  • FIG. 9 is a schematic cross-sectional view showing an example of a silicon substrate surface on which a gate oxide film and a gate insulating film are formed.
  • FIG. 10 is a schematic cross-sectional view showing an example of the plasma processing on the substrate surface.
  • FIG. 11 is a schematic cross-sectional view showing an example of the formation of a SiO 2 film on a substrate using plasma, nitriding, and hydrogen plasma processing.
  • FIG. 12 is a schematic cross-sectional view showing an example of film formation of the H i -k material.
  • FIG. 13 is a schematic cross-sectional view showing an example of the formation of a gate electrode on a Hi—k material film.
  • FIG. 14 is a schematic cross-sectional view showing one example of the formation of a MOS capacitor.
  • FIG. 15 is a schematic cross-sectional view showing an example of source and drain formation by ion implantation.
  • FIG. 16 is a schematic sectional view showing an example of the MOS transistor structure obtained by the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • a cleaning effect can be obtained by irradiating a plasma using a processing gas containing at least a rare gas to a substrate for an electronic device, or oxygen and nitrogen can be added to a similar plasma.
  • the application of the method of forming an insulating film of the present invention is not particularly limited, but the present invention is particularly suitable for, for example, forming a high dielectric constant (High-k) material which is sensitive to film forming conditions. Provides a thin insulating film with a surface.
  • High-k high dielectric constant
  • composition thickness, formation method, and characteristics of the insulating film that can be formed by the present invention are as follows.
  • Composition Oxide film, oxynitride film, nitride film
  • Forming method An electronic substrate that has been subjected to one or more steps of cleaning, oxidation, nitridation, and thinning in a single container using at least a plasma containing a rare gas. Alternatively, plasma containing at least a noble gas formed by the same operating principle was generated in multiple containers, and the electronic substrate was subjected to cleaning, oxidation, nitriding, and thinning processes. thing. Thickness: Physical thin film 5 A to 20 A
  • the quality and thickness of the thin insulating film obtained according to the present invention can be suitably evaluated, for example, by actually forming a high-k material on the surface. it can. Whether or not a high-quality High_k material film was obtained at this time can be determined, for example, as described in the literature (Physical Masayoshi Kishino, Mitsumasa Koyanagi, Maruzen P62 to P63 of VLSI devices).
  • a standard MOS semiconductor structure By forming a standard MOS semiconductor structure and evaluating the characteristics of the MOS, it is possible to replace the above-described evaluation of the characteristics of the insulating film itself. This is because, in such a standard MOS structure, the characteristics of the insulating film constituting the structure have a strong influence on the MOS characteristics.
  • a MOS capacitor including the High_k material film can be formed under the conditions of Example 1 described later.
  • the present invention provides the following (1) flat band characteristics or (2) lead band characteristics. It is preferable that the characteristics (more preferably, both of them) are obtained.
  • the thin insulating film obtained by the method for forming an insulating film according to the present invention is suitable for various subsequent processes.
  • Such “post-processing” is not particularly limited, and may be various kinds of processing such as formation of an oxide film, film formation by CVD, and etching. Since the method for forming an insulating film of the present invention can be performed at a low temperature, the subsequent processing is performed at a relatively low temperature (preferably 600 ° C. or lower, more preferably 500 ° C. or lower). It is especially effective when combined with the processing below.
  • the use of the present invention makes it possible to form an oxide film at a low temperature, which is one of the steps requiring a high temperature in the device manufacturing process, and thus has a high heat. This is because it is possible to manufacture a device that avoids the history. (Substrate for electronic devices)
  • the substrate for an electronic device that can be used in the present invention is not particularly limited, and can be appropriately selected from one or a combination of two or more known substrates for an electronic device.
  • Examples of such a substrate for an electronic device include a semiconductor material and a liquid crystal device material.
  • Examples of the semiconductor material include, for example, a material mainly containing single crystal silicon, a material mainly containing silicon germanium, and the like.
  • the processing gas that can be used in the present invention is not particularly limited as long as it contains at least a noble gas, and is appropriately selected from one or a combination of two or more known processing gases that can be used for manufacturing electronic devices. It is possible. Is an example of the good Una process gas (noble gas), for example, A r, H e, K r, X e, N e, O 2, N 2, H 2, NH 3 is like et be.
  • the characteristics of the thin insulating film to be obtained From the viewpoint of properties, the following conditions can be suitably used.
  • Noble gases e.g., Kr, Ar, He, Xe or Ne
  • H 2 0 to 100 sccm, more preferably 0 to 50 sccm.
  • the processing gas contains at least a rare gas and oxygen, and the oxygen gas flow rate is O 2 : 100 to 500 sccm, and more preferably 10 to 200 sccm.
  • the processing gas contains at least a rare gas and nitrogen, and the nitrogen gas flow rate is N 2 : 3 to 300 sccm, more preferably 20 to 200 sccm.
  • the processing gas contains at least a rare gas and hydrogen, and the hydrogen gas flow rate is H 2 : 0 to 100 sccm, more preferably 0 to 50 sccm.
  • Temperature room temperature 25 to 500 ° C, more preferably 250 to 500 ° C, particularly preferably 250 to 400 ° C
  • the plasma that can be used in the present invention is not particularly limited, but it is preferable to use a plasma having a relatively low electron temperature and a high density in terms of easily obtaining a uniform thin film.
  • Electron temperature 0.5 to 2.0 eV
  • Plasma density uniformity ⁇ 10%
  • a microwave having a low electron temperature and a high density is formed by irradiating a microwave through a planar antenna member having a plurality of slots.
  • a planar antenna member having a plurality of slots Is preferred.
  • an oxynitride film is formed using plasma having such excellent characteristics, a process with low plasma damage and high reactivity at low temperatures can be performed.
  • the shape of the insulating film which is more preferably made thinner is reduced. The advantage is that it is easy to construct.
  • a thinned insulating film can be formed. Therefore, by forming another layer (for example, another insulating layer) on the thinned insulating film, it becomes easy to form a structure of a semiconductor device having excellent characteristics. .
  • the insulating film thinned according to the present invention is particularly suitable for forming a high-k material film on the surface of the thinned insulating film.
  • the high-k material that can be used in the present invention is not particularly limited, but from the viewpoint of increasing the physical film thickness, a material having a k (relative dielectric constant) of 7 or more, more preferably 10 or more is preferable. .
  • the term “in the same vessel” described below means that after a certain step, the substrate to be treated is subjected to the subsequent treatment without passing through the wall of the vessel.
  • cluster structure which is a combination of a plurality of containers, and when there is a movement between different containers constituting the cluster, it is not “in the same container” according to the present invention.
  • a plurality of processes can be continuously performed in the same manner in the same container without exposing a substrate to be processed (silicon substrate or the like) to the atmosphere without exposing the substrate to the atmosphere.
  • This can be performed indoors. For example, by performing all the steps in one reaction chamber, reduction in footprint can be realized.
  • the same operation principle is arranged in the reaction chamber, so the gas piping and operation panel can be the same, resulting in excellent maintenance and operability. Can be realized.
  • the processing order can be variously changed. By using this method, gate insulating films having various characteristics can be manufactured.
  • an oxide film or an oxynitride film manufactured by using the present invention can be used as it is as a gate insulating film, an extremely thin film using the present invention can be used.
  • gate insulating film structure with higher interface characteristics than a single gate insulating film, for example, high transistor carrier mobility.
  • An extremely thin and high quality insulating film which can be formed on a substrate cleaned by the present invention is an insulating film of a semiconductor device (especially a MOS semiconductor structure). It can be particularly suitably used as a gate insulating film.
  • the present invention it is possible to easily manufacture a MOS semiconductor structure having the following suitable characteristics.
  • evaluating the characteristics of the oxynitride film formed according to the present invention for example, a method described in the literature (Physical Masatake Kishino, Mitsumasa Koyanagi, Maruzen P62 to P63 of VLSI devices) was used.
  • a method described in the literature Physical Masatake Kishino, Mitsumasa Koyanagi, Maruzen P62 to P63 of VLSI devices
  • FIG. 1 for a semiconductor device having a MOS structure including a gate insulating film as an insulating film.
  • reference numeral 1 denotes a silicon substrate
  • 11 denotes a field oxide film
  • 2 denotes a gate insulating film
  • 13 denotes a gate electrode. It is.
  • an extremely thin and high-quality gate insulating film 2 can be formed.
  • the gate insulating film 2 is made of a high quality insulating film formed at the interface with the silicon substrate 1 as shown in FIG. 1 (b).
  • it is composed of an oxide film or an oxynitride film having a thickness of about 2 nm.
  • this high-quality oxide film 2 has a plurality of slots in a substrate to be processed mainly containing Si in the presence of a processing gas containing O 2 , N 2 and a rare gas.
  • Plasma is formed by irradiating a microwave through a planar antenna member, and a silicon oxynitride film (hereinafter referred to as a “Si ON film”) formed on the surface of the substrate to be processed using the plasma.
  • Si ON film silicon oxynitride film
  • a gate electrode 13 mainly composed of silicon (polysilicon or amorphous silicon) is further provided on the surface of the silicon oxynitride film. Is formed.
  • FIG. 2 is a schematic diagram showing an example of the entire configuration of a semiconductor manufacturing apparatus 30 for implementing the method for manufacturing an electronic device material of the present invention. (Schematic plan view).
  • a transfer chamber 31 for transferring the wafer W (FIG. 2) is provided substantially at the center of the semiconductor manufacturing apparatus 30, and the transfer chamber 31 is provided.
  • a pre-cooling unit 45 and a cooling unit 46 for performing various pre-cooling and cooling operations are provided beside the load lock units 34 and 35, respectively.
  • Transfer arms 37 and 38 are disposed inside the transfer chamber 31, and can transfer the wafer W (FIG. 2) between the units 32 to 36.
  • the loader arms 41 and 42 are arranged in front of the load units 34 and 35 in the drawing. These loaders The wafers 41 and 42 can move the wafer W in and out of the four cassettes 44 set on the cassette stage 43 arranged further in front thereof. .
  • the plasma processing units 32 and 33 can both be replaced with a single chamber type CVD processing unit, and one unit is provided at the position of the plasma processing units 32 and 33. Alternatively, it is possible to set up two single-chamber type CVD processing units.
  • a method of forming a SiO 2 film in the treatment unit 32 and then nitriding the SiO 2 film in the treatment unit 33 may be performed.
  • the SiO 2 film formation and the surface nitriding of the SiO 2 film may be performed in parallel.
  • FIG. 3 is a schematic cross-sectional view in the vertical direction of a plasma processing unit 32 (33) that can be used for forming the gated green film 2.
  • reference numeral 50 is a vacuum vessel formed of, for example, aluminum.
  • An opening 51 larger than the substrate (for example, wafer W) is formed on the upper surface of the vacuum container 50.
  • the opening 51 is made of, for example, quartz or aluminum oxide so as to close the opening 51.
  • a flat cylindrical top plate 54 made of a dielectric is provided on the side wall on the upper side of the vacuum vessel 50 which is the lower surface of the top plate 54.
  • gas supply pipes 72 are provided at 16 positions evenly arranged along the circumferential direction thereof, A processing gas containing at least one selected from O 2 , a rare gas, N 2, H 2, and the like is uniformly and uniformly supplied from the gas supply pipe 72 to the vicinity of the plasma region P of the vacuum vessel 50. Swollen I have.
  • a high-frequency power supply unit is formed via a planar antenna member having a plurality of slots, for example, a planar antenna (RLSA) 60 formed of a copper plate.
  • a waveguide 63 connected to a microwave power supply unit 61 that generates a 5 GHz microwave is provided.
  • the waveguide 63 is composed of a flat planar waveguide 63 A having a lower edge connected to the RLSA 60, and a cylindrical waveguide having one end connected to the upper surface of the planar waveguide 63 A. 6 3 B, a coaxial waveguide converter 63 C connected to the upper surface of the cylindrical waveguide 63 B, and one end connected at right angles to the side surface of the coaxial waveguide converter 63 C.
  • the inside of the cylindrical waveguide 63B which is configured by combining a rectangular waveguide 63D connected to the microwave power source part 61 at the other end, is made of a conductive material.
  • the shaft portion 62 is provided coaxially so that one end is connected to substantially the center of the upper surface of the RLSA 60 and the other end is connected to the upper surface of the cylindrical waveguide 63B.
  • the waveguide 63B is configured as a coaxial waveguide.
  • a mounting table 52 for the wafer W is provided so as to face the top plate 54.
  • the mounting table 52 has a built-in temperature control unit (not shown), so that the mounting table 52 functions as a hot plate.
  • one end of an exhaust pipe 53 is connected to the bottom of the vacuum vessel 50, and the other end of the exhaust pipe 53 is connected to a vacuum pump 55.
  • FIG. 4 is a schematic plan view showing an example of RLSA60 that can be used in the electronic device material manufacturing apparatus of the present invention.
  • each slot 60a is a substantially rectangular through groove, and adjacent slots are arranged so as to be orthogonal to each other and to form a letter "T" of an almost alphabet. I have.
  • the length and the arrangement interval of the slots 60a are determined according to the wavelength of the microwave generated by the microwave power supply unit 61.
  • the inside atmosphere is evacuated by the vacuum pump 55 through the exhaust pipe 53 to evacuate to a predetermined degree of vacuum, and maintain the predetermined pressure.
  • a microwave of 1.8 GHz (2200 W) is generated from the microwave power supply unit 61, and the microwave is guided through a waveguide to guide the RLSA 60 and The high-frequency plasma is generated in the plasma region P on the upper side in the vacuum container 50 through the top plate 54 and introduced into the vacuum container 50.
  • the microwave is transmitted in the rectangular mode in the rectangular waveguide 63D, converted from the rectangular mode to the circular mode by the coaxial waveguide converter 63C, and is converted to the cylindrical mode in the circular mode.
  • the RLSA 60 transmits through the coaxial waveguide 63B, and further transmits the planar waveguide 63A in the radial direction.
  • the light passes through and is introduced into the vacuum vessel 50.
  • the use of micro mouth waves generates plasma of high density and low electron density, and micro waves are generated from many slots 60a of RLSA 60. Due to the emission, this plasma has a uniform distribution.
  • the wafer W is introduced into the reaction chamber 50 shown in FIG. 3 prior to the introduction of the microwave, and is heated at the stage 52 through the gas supply pipe 72 to process the gas for forming the oxide film.
  • the pressure in the reaction chamber at 133 Pa and introducing microwaves at 2 WZ cm 2 , plasma is generated and oxygen radicals react on the surface of the silicon wafer W to form a silicon oxide film.
  • a rare gas, or a rare gas and a hydrogen gas are suitably used as the processing gas.
  • nitriding a rare gas and a gas containing nitrogen are used as the processing gas.
  • a P-type silicon substrate having a specific resistance of 8 to 12 Qcm and a plane orientation (100) was used.
  • a 500 A (angstrom) sacrificial oxide film is formed on the surface of the silicon substrate by a thermal oxidation method.
  • RCA combining APM (a mixture of ammonia, hydrogen peroxide, and pure water) with HPM (a mixture of hydrochloric acid, hydrogen peroxide, and pure water) and DHF (a mixture of hydrofluoric acid and pure water) Cleaning removed sacrificial oxide films and contaminants (metals, organics, particles).
  • HPM a mixture of hydrochloric acid, hydrogen peroxide, and pure water
  • DHF a mixture of hydrofluoric acid and pure water
  • Plasma treatment before oxidation (Fig. 10)
  • the substrate was subjected to an RLSA plasma process (FIG. 10).
  • the processing conditions are as follows. After the wafer is transferred to the reaction chamber under vacuum (back pressure lxl O— 4 Pa or less) shown in 3 2 and 3 in Figure 2, the substrate temperature is 400 ° C and noble gas (for example, Ar gas) ) 100 Osccm, the pressure was kept at 7 Pa-: 133 Pa (50 mTorr-lTorr).
  • a rare gas plasma is generated by irradiating a microwave of 2 to 3 WZ cm 2 through a planar antenna member (RLSA) having a plurality of slots in the atmosphere to generate a rare gas plasma on the substrate surface.
  • RLSA planar antenna member
  • pre-oxidation treatment with hydrogen plasma may be performed by including 5 to 30 sccm of hydrogen in the rare gas.
  • An oxide film was formed on the silicon substrate treated in the above (3) by the following method. Perform the following process without exposing the silicon substrate treated in (3) to the atmosphere (for example, treating in the same reaction chamber 32, using a vacuum transfer system, Treatment in another reaction chamber 33 while preventing exposure to water, etc.), so that the oxidation treatment can be performed while maintaining optimally the organic contaminant removal and natural oxide film removal effects obtained in the treatment in (3). Can be applied.
  • a rare gas and oxygen are flowed on the silicon substrate heated to 400 ° C. at a rate of 100 to 200 sccm and 500 to 500 sccm, respectively, and the pressure is raised to 13 Pa to : L 3 Pa (100 mT orr to 100 mT orr).
  • a plasma containing oxygen and a rare gas is irradiated by irradiating a microwave of 2 to 3 W / cm 2 through a planar antenna member (RLSA) having a plurality of slots in the atmosphere.
  • RLSA planar antenna member
  • a SiO 2 film was formed on three substrates using this plasma (FIG. 11). The film thickness was controlled by changing the processing conditions including the processing time.
  • Plasma nitriding process (Fig. 11)
  • the oxide film subjected to the treatment (4) was nitrided by the following method. Perform the following process on the oxide film that has been treated in (4) without exposing it to the atmosphere (for example, treating in the same reaction chamber 32, using a vacuum transfer system, Treatment in another reaction chamber 33, etc.) to prevent nitriding treatment while suppressing organic matter contamination and natural oxide film increase on the upper part of the oxide film obtained in the treatment (4). Can be applied. A rare gas and nitrogen are flowed at 500 to 200 sccm and 4 to 500 sccm, respectively, on the silicon substrate heated to 400 ° C, and the pressure is set to 3 P a to l 3 P a (20 mT orr to l OOO mT orr).
  • a plasma containing nitrogen and a rare gas is formed by irradiating a microwave of 3 cm 2 in the atmosphere through a planar antenna member (RLSA) having a plurality of slots, An oxynitride film (SiON film) was formed on the substrate using this plasma (Fig. 11).
  • RLSA planar antenna member
  • SiON film oxynitride film
  • An annealing treatment with hydrogen plasma was performed on the oxynitride film subjected to the treatment (5) by the following method. Perform the following process on the oxynitride film treated in (5) without exposing it to the atmosphere (for example, treating in the same reaction chamber 32, using a vacuum transfer system, (The treatment is performed in another reaction chamber 33 while preventing exposure, etc.) As a result, the hydrogen plasma is prevented while suppressing the organic contamination and the increase of the natural oxide film on the oxynitride film obtained by the treatment (5). It can be annealed.
  • a rare gas and hydrogen are flowed at 500 to 200 sccm and 4 to 500 sccm, respectively, on the silicon substrate heated to 400 ° C, and the pressure is set to 3 Pa to 13 P a (20 mT orr ⁇ l 0 0 0 mT orr) Was.
  • Forming a plasma containing a by Ri hydrogen and rare gas by irradiating the microphone port wave flat antenna member 2 via a (RLSA) 3 W / cm 2 having a plurality of slots in its atmosphere
  • hydrogen plasma annealing was performed on the oxynitride film using this plasma (FIG. 11).
  • the SIMS analysis sample in Fig. 11 was analyzed by stopping the treatment in this step.
  • Polysilicon was formed as a gate electrode by CVD on the oxynitride film formed in the above processes (3) to (6).
  • the silicon substrate on which the oxynitride film was formed was heated at 63 ° C., and silane gas 250 sccm was introduced onto the substrate under a pressure of 33 Pa and held for 30 minutes to maintain the Si.
  • a 300 OA-thick polysilicon film for electrodes was formed on the O 2 film.
  • the a silicon substrate manufactured by (7) was heated to 8 7 5 ° C, 0 respectively 3 5 POC 1 3 gas and oxygen and nitrogen on a substrate sccm, 2 0 0 sccm, 2 0 0 0 0 sccm
  • the silicon was doped with phosphorus by introducing it at normal pressure and keeping it for 24 minutes.
  • the measurement for the MOS capacitor obtained in Example 1 was performed by the following method. Gate one gate electrode area and rated the 1 0 0 0 0 m 2 capacitors CV, IV characteristics.
  • CV characteristics the frequency is 100 kHz
  • the gate voltage is swept from + IV to ⁇ 3 V
  • the capacity at each voltage is It was determined by evaluating the distance.
  • the electrical film thickness and V fb flat band voltage
  • the IV characteristics were obtained by sweeping the gate voltage from 0 V to about 15 V and evaluating the current value (leak current value) flowing at each voltage.
  • the leak current value at the gate electrode voltage obtained by subtracting 0.4 V from V fb obtained from the CV measurement was calculated from the IV characteristics.
  • Figure 5 compares the leakage characteristics of the oxide film with and without the pre-plasma treatment.
  • the oxide film used here has not been subjected to nitridation or post-hydrogen treatment to show only the effect of the pre-plasma treatment.
  • the horizontal axis shows the electrical film thickness obtained from the CV characteristics, and the vertical axis shows the leakage at the gate voltage V fb-0.4 V (about -1.2 V because V fb is about --0.8 V).
  • the current values are shown.
  • the pre-plasma treatment successfully reduced the leakage current value of the oxide film.
  • Figure 6 compares the flat band characteristics of the pre-plasma-treated RLSA plasma oxide film and the thermal oxide film currently used in general devices.
  • the horizontal axis shows the electrical film thickness obtained from the CV characteristics
  • the vertical axis shows the flat band voltage obtained from the CV characteristics. It is known that the flat band voltage shifts in the negative direction if there is a carrier trapping defect at the film or at the interface.However, the film subjected to the pre-plasma treatment is known. Shows a value equivalent to that of the thermal oxide film (about -0.8 V), and no degradation of the flat band characteristics was observed in this step.
  • FIG. 7A shows the change over time in the electrical film thickness of the gate oxynitride film (the change in the electrical film thickness in each process) using a plurality of steps (multi-process) in the present invention.
  • the horizontal axis is the processing time, and the vertical axis is the electrical film thickness.
  • Nitriding treatment has successfully reduced the electrical film thickness by 1 to 3.5 A. In addition, succeeding in further thinning by applying post-hydrogen treatment are doing.
  • Fig. 7b shows the change over time of the flat band voltage of the same film as in Fig. 9 (change of the flat band voltage at each step).
  • the horizontal axis is the processing time, and the vertical axis is the flat band voltage. It is known that the flat band voltage shifts significantly in the negative direction if there is a defect or the like that traps a carrier at the film or at the interface. Indicates the recovery of the flat band shift, indicating that the nitridation has recovered the deteriorated film properties.
  • the film thickness (the thickness of the layer containing oxygen) is reduced by performing the hydrogen treatment. This is thought to be due to the reduction by the hydrogen reactant. By making effective use of this process, it is possible to control (etch) areas where control is difficult (up to 10 A).
  • a plurality of processes can be continuously performed in a reaction chamber having the same principle without exposing the silicon substrate to the atmosphere.
  • the reduction of footprint can be realized.
  • the same operation principle is arranged in the reaction chambers, so the gas piping and operation panel can be the same, resulting in excellent maintenance and operation. Can be realized.
  • the possibility of contamination brought in between the apparatuses is low because they are the same apparatus, and the processing order can be variously changed even in a cluster configuration having a plurality of reaction chambers. By using this method, it is possible to produce gate insulating films having various characteristics.
  • the f-oxynitride film manufactured by using the present invention is used as it is as the gate insulating film.
  • the method of manufacturing a logic device according to the present embodiment is roughly performed in the flow of “element isolation ⁇ MOS transistor preparation ⁇ capacitance preparation ⁇ interlayer insulating film formation and wiring”.
  • a P-type or N-type silicon substrate with a specific resistance of 1 to 30 ⁇ cm and a plane orientation (100) is used.
  • a device isolation process such as STI or LOCOS or a channel implanter is performed on the silicon substrate, and the gate oxide film and the gate insulating film are sacrificed on the surface of the silicon substrate.
  • An oxide film has been formed (Fig. 9).
  • APM a mixture of ammonia, hydrogen peroxide and pure water
  • HPM a mixture of hydrochloric acid, hydrogen peroxide and pure water
  • DHF a mixture of hydrofluoric acid and pure water
  • RCA cleaning removes sacrificial oxide and contaminants (metals, organics, particles).
  • SPM mixture of sulfuric acid and hydrogen peroxide
  • FPM mixture of hydrofluoric acid, hydrogen peroxide, pure water
  • hydrochloric acid mixture of hydrochloric acid and pure water Liquid
  • RLSA plasma treatment is performed on the substrate as a pre-process of forming a base oxide film.
  • the following processing conditions can be considered. After conveyed to the reaction chamber 3 second vacuum (back pressure 1 X 1 0- 4 P a or less) the wafer, the substrate temperature 4 0 0 ° C, noble gases (e.g., A r gas) l OOO sccm, a pressure of 7 P a ⁇ : It is kept at L 33 P a (50 mT orr to 100 mT orr). As the microphone B wave.
  • noble gases e.g., A r gas
  • the mixed gas may contain 5 to 30 sccm of hydrogen to perform pre-oxidation treatment with hydrogen plasma (Fig. 10).
  • An oxide film is formed on the silicon substrate subjected to the treatment (3) by the following method.
  • the (3) Removal of organic contaminants obtained by treatment ⁇ Oxidation treatment can be performed while maintaining the natural oxide film removal effect optimally.
  • a rare gas and oxygen are flowed on the silicon substrate heated to 400 ° C at a rate of 100 to 200 sccm and 500 to 500 sccm, respectively, and the pressure is raised to 13 Pa to 1 3 3 Pa (100 mT orr ⁇ l OOO mT orr).
  • a plasma containing oxygen and a rare gas is formed by irradiating a micro wave of 2 to 3 cm 2 into the atmosphere through a planar antenna member (RLSA) having a plurality of slots. Then, a SiO 2 film is formed on the substrate 3 using this plasma.
  • the film thickness is controlled by changing the processing conditions including the processing time. Is possible (Fig. 11).
  • Nitrogenation is performed on the oxide film subjected to the treatment (4) by the following method. Perform the following process on the oxide film that has been treated in (4) without exposing it to the atmosphere (for example, treating in the same reaction chamber 32, exposure to the atmosphere using a vacuum transfer system). Process, etc., in another reaction chamber 33). Thus, the nitriding process can be performed while suppressing the organic contamination and the increase of the natural oxide film on the upper part of the oxide film obtained by the process (4). And can be done.
  • a rare gas and nitrogen are flowed at 500 to 200 sccm and 4 to 500 sccm, respectively, on the silicon substrate heated to 400 ° C, and the pressure is set to 3 Pa to: 1 3 3 P a (20 mT orr ⁇ : OOO mT orr).
  • a plasma containing nitrogen and a rare gas is formed by irradiating a microwave of 2 to 3 cm 2 into the atmosphere through a planar antenna member (RLSA) having a plurality of slots. Using this plasma, an oxynitride film (SiON film) is formed on the substrate (FIG. 11).
  • anneal treatment with hydrogen plasma is performed on the oxynitride film treated in the above (5) by the following method. Perform the following process on the oxynitride film that has been treated in (5) without exposing it to the atmosphere (for example, treating in the same reaction chamber 32, using a vacuum transfer system to the atmosphere). Treatment in another reaction chamber 33 while preventing the exposure of oxynitride to the upper part of the oxynitride film obtained in the treatment of (5). It can be annealed.
  • a rare gas and hydrogen are flowed at 500 to 200 sccm and 4 to 500 sccm, respectively, on the silicon substrate heated to 400 ° C, and the pressure is set to 3 Pa to 13 P a (20 mT ori: ⁇ l OOO m T orr) I do.
  • a planar antenna member with multiple slots in the atmosphere (
  • a plasma containing hydrogen and a rare gas is formed by irradiating a micro wave of 2 to 3 W / cm 2 through the RLSA, and a hydrogen plasma layer is formed on the oxynitride film using the plasma.
  • a high-k material is formed on the underlayer oxynitride film formed in (6) above.
  • High-k gate insulating film formation methods are broadly classified into processes using CVD and processes using PVD. Here, the formation of the gate insulating film by CVD is mainly described. Formation of gate one gate insulating film by C VD is the raw material gas (e.g.
  • HTB H f (OC 2 H 5) 4 and S i H 4) and in the range of 2 0 0 ° C from 1 0 0 0 ° C
  • a reactive species eg, Hf radical and Si radical, O radical
  • Reactive species may be generated by plasma.
  • the physical thickness of the gate insulating film is 1 nm to 1 O nm (Fig. 12).
  • polysilicon including amorphous silicon
  • MOS transistor On the High_k gate insulating film (including the underlying gate oxide film) formed in (7) above, polysilicon (including amorphous silicon) is used as the gate electrode of the MOS transistor. ) Is deposited by CVD method. The silicon substrate on which the gate insulating film is formed is heated within a temperature range of 500 ° C. to 65 ° C., and a gas containing silicon (silane, disilane, etc.) is heated on the substrate by 10 ° C. Then, a 50- to 500-nm-thick polysilicon film for electrodes is formed on the gate insulating film by introducing the film under a pressure of 1 OOPa. As a gate electrode, silicon germanium metal (W, Ru, Tin, Ta, Mo, etc.) is used as an alternative to polysilicon. ( Figure 13).
  • MOS capacitor FPGA
  • ion implantation is performed to form a source and a drain (FIG. 15).
  • dopants channels, sources, drain-hemplined phosphorus (P), arsenic (A s), boron (B), etc.
  • anneal a source and a drain
  • dopants channels, sources, drain-hemplined phosphorus (P), arsenic (A s), boron (B), etc.
  • the MOS transistor according to this embodiment is obtained through a wiring process combining post-process interlayer film formation, pattern jungling, selective etching, and metal film formation (Fig. 16).
  • wiring processes are applied to the upper part of the transistor in various patterns, and a circuit is created to complete the logic device.
  • Hf silicate HfSiO film
  • an insulating film having another composition may be formed.
  • the gate insulating film low dielectric constant SiO 2 and SiO 2 which have been conventionally used, and dielectric constants called Si N and high-k materials having relatively high dielectric constants are used. comprising a high a l 2 O 3, Z r O 2, H f ⁇ 2, T a 205, and Z r S i O, H f S i O like serial cable of Toya Z r a 1 O, etc.
  • Arumine Bok One or more selected from the group are mentioned.
  • this embodiment is to form an underlying gate oxynitride film
  • a high-k material is not formed, and the underlying gate oxynitride film is left as it is as a gate insulating film. It can also be used by controlling the thickness of the base oxide film.
  • oxide film that is not subjected to nitriding as a base, or use the oxide film itself as a good insulating film.
  • pre-oxidation and post-hydrogen treatments can be omitted or the treatment order can be changed as necessary.
  • An example of the processing order according to the purpose is shown below.
  • Oxidation treatment-Thinning by post-hydrogen treatment ⁇ High-k-k film ⁇ Po 1 y
  • Pre-nitriding (same as pre-oxidation) ⁇ nitriding ⁇ post-hydrogen treatment ⁇ High-g 1 k film formation ⁇ Po 1 y film formation
  • the present invention makes it possible to continuously perform a plurality of processes in a reaction chamber having the same principle without exposing the silicon substrate to the atmosphere. For example, by performing a plurality of steps including cleaning, oxidizing, nitriding, and etching in one reaction chamber, the footprint can be reduced. Also, when each process is processed in a separate reaction chamber, the same operation principle is arranged in the reaction chambers, so that the same gas piping and operation panel can be used, resulting in excellent maintenance and operability. realizable. Furthermore, since the same apparatus is used, the possibility of contamination brought in between the apparatuses is low, and even in the case of a cluster configuration having a plurality of reaction chambers, the processing order can be variously changed. By using this method, gate insulating films having various characteristics can be manufactured. Industrial applicability
  • an insulating film having various characteristics can be efficiently (for example, cleaned, oxidized, and nitrided in one reaction chamber).
  • Small footprint by performing multiple processes including etching, simplification of operability by performing various processes in a reaction chamber with the same operating principle, and cross-counter mining between devices can be manufactured.

Description

明 細 書 絶縁膜の形成方法 技術分野
本発明は、 様々な特性 (例えば、 極薄膜厚の制御や、 高い清浄度 等) に優れた絶縁膜を効率よく (例えば、 一つの反応室で様々なェ 程を行う こ とによる小さいフッ トプリ ン トや、 同一の動作原理の反 応室で様々な工程を行う ことによる操作性の簡略化、 装置間のク ロ スコンタ ミネーシヨ ンの抑制等) 製造する方法に関する。 本発明の 電子デバイス材料の製造方法は、 例えば半導体ないし半導体デバイ ス (例えば、 特性に優れたゲー ト絶縁膜を有する M O S型半導体構 造を有するもの) 用の材料を形成するために好適に使用するこ とが 可能である。 背景技術
本発明は半導体ないし半導体装置、 液晶デバィス等の電子デバィ ス材料の製造に一般的に広く適用可能であるが、 こ こでは説明の便 宜のために、 半導体装置 (dev i c e s ) の背景技術を例にとって説明 する。
シリ コンを始めとする半導体ないし電子デバィス材料用基材には 、 酸化膜を始めとする絶縁膜の形成、 C V D等による成膜、 エッチ ング等の種々の処理が施される。
近年の半導体デバイスの高性能化は、 トランジスタを始めとする 該デバイスの微細化技術の上に発展してきたといっても過言ではな い。 現在も更なる高性能化を目指して ト ランジスタの微細化技術の 改善がなされている。 近年の半導体装置の微細化、 および高性能化 の要請に伴い、 (例えば、 リーク電流の点で) よ り高性能な絶縁膜 に対するニーズが著しく高まって来ている。 これは、 従来の比較的 に集積度が低いデバィ スにおいては事実上問題とならなかったよ う な程度のリ ーク電流であっても、 近年の微細化 · 高集積化および/ 又は高性能化したデパイスにおいては、 シビアな問題を生ずる可能 性があるためである。 特に、 近年始まった、 いわゆるュビキタス社 会 (何時でもどこでもネッ トワークに繋がる電子デバイスを媒体に した情報化社会) における携帯型電子機器の発達には低消費電力デ バイ スが必須であり、 このリーク電流の低減が極めて重要な課題と なる。
典型的には、 例えば、 次世代 M O S ト ラ ンジスタを開発する上で 、 上述したよ う な微細化技術が進むにつれてゲ一 ト絶縁膜の薄膜化 が限界に近づいてきており、 克服すべき大きな課題が現れてきた。 すなわち、 プロセス技術と しては現在ゲー ト絶緣膜と して用いられ ているシリ コ ン酸化膜 ( S i O 2 ) を極限 ( 1〜 2原子層レベル) まで薄膜化するこ とは可能であるものの、 2 n m以下の膜厚まで薄 膜化を行った場合、 量子効果によるダイ レク ト ト ンネルによる リー ク電流の指数関数的な増加が生じ、 消費電力が増大してしま う とい う問題点である。
現在、 I T (情報技術) 市場はデスク ト ップ型パーソナルコ ンビ ユータゃ家庭電話等に代表される固定式電子デバイス (コ ンセン ト から電力を供給するデバイス) から、 イ ンターネッ ト等にいつでも どこでもアクセスできる 「ュビキタス ' ネ ッ ト ワーク社会」 への変 貌を遂げよ う と している。 従って、 ごく近い将来に、 携帯電話や力 一ナビグーショ ンゲーショ ンシステムなどの携帯端末が主流となる と考えられる。 このよ う な携帯端末は、 それ自体が高性能デバイス であることが要求されるが、 これと同時に、 上記の固定式デバイス ではそれほど必要と されない小型、 軽量かつ長時間使用に耐えう る 機能を備えているこ とが前提となる。 よって、 携帯端末においては 、 これらの高性能化を図りつつ、 しかも消費電力の低減化が極めて 重要な課題となっている。
典型的には、 例えば、 次世代 M O S トランジスタを開発する上で 、 高性能のシリ コン L S I の微細化を追求していく と リーク電流が 増大して、 消費電力も増大する という問題が生じている。 そこで性 能を追求しつつ消費電力を少なくするためには、 M O S ト ランジス タのゲ一 ト リ ーク電流を増加させずに トランジスタの特性を向上さ せるこ とが必要となる。
このよ うな微細化および特性の向上を両立させるためには、 良質 で且つ薄い (例えば、 膜厚が 1 5 A ; オングス ト ローム以下程度) 絶縁膜の形成が不可欠である。
しかしながら、 良質で且つ薄い絶縁膜の形成は極めて困難である 。 例えば、 従来の熱酸化法または C V D (化学気相堆積法) によ り 、 このよ うな絶縁膜を成膜した場合には、 膜質または膜厚のいずれ か一方の特性が不充分であった。 発明の開示
本発明の目的は、 上記した従来技術の欠点を解消した電子デバィ ス用基材上の薄い絶縁膜の形成方法を提供するこ とにある。
本発明の他の目的は、 その後の処理 ( C V D等による成膜、 エツ チング等) を好適に行う こ とが可能な、 膜質または膜厚のいずれも 優れた絶縁膜を与えるこ とができる、 電子デバイス用基材表面の薄 い絶縁膜の形成方法を提供するこ とにある。
本発明の更に他の目的は、 同一の動作原理を用いて上記絶縁膜の 形成に関する様々な工程を行う こ とで、 装置形体の簡略化を実現し 、 特性の優れた絶縁膜を効率よく形成するこ とにある。
本発明者は鋭意研究の結果、 従来のよ うな一つの装置で一つのェ 程を行うだけではなく 、 一つの装置で様々な工程を行う こ とが可能 な方法を用いて絶縁膜を形成するこ とが上記目的達成の為に極めて 効果的であるこ とを見出した。
本発明による電子デバィス用基材表面の絶縁膜の形成方法は上記 知見に基づく ものであり、 よ り詳しく は、 電子デバイス用基材上に 絶縁膜を形成するプロセスにおいて、 該工程に含まれる絶縁膜特性 を制御する 2以上の工程が、 同一の動作原理下で行われるこ とを特 徴とするものである。
本発明においては、 例えば、 電子デバイス用基材に少なく と も希 ガスを含む処理ガスを用いたブラズマを照射するこ とでク リーニン グ効果を得るものや、 同様のプラズマに酸素や窒素を含むこ とで酸 化や窒化を行う もの、 酸化膜を始めとする酸素原子を含む絶縁膜に 同様のプラズマに少なく と も水素を含むこ とで絶縁膜の厚さを低減 させるこ とができる。
上記構成を有する本発明の絶縁膜の形成方法によれば例えば、 膜 質に重点を置いて任意の厚さの膜を形成した後に、 特定のプラズマ 処理によ り薄膜化するこ とによ り、 任意の膜厚の絶縁膜が容易に得 るこ とができる。 図面の簡単な説明
図 1 は、 本発明によ り形成するこ とが可能な M O S構造の一例を 示す模式断面図である。
図 2は、 本発明の絶縁膜の形成方法に使用可能な半導体製造装置 の一例を示す部分模式断面図である。
図 3は、 本発明の絶縁膜の形成方法に使用可能な平面アンテナ ( R L S A ; Slot Plane Antennaないし S P Aと称される場合もある ) プラズマ処理ュニッ 卜の一例を示す模式的な垂直断面図である。
図 4は、 本発明の電子デバイス材料の製造装置に使用可能な R L S Aの一例を示す模式的な平面図である。
図 5は、 酸化前プラズマ処理を施した場合と酸化前プラズマ処理 を施さなかった場合の酸化膜のリーク特性を示すグラフである。 横 軸は電気的膜厚、 縦軸はゲー ト電圧 V f b— 0. 4 Vにおけるゲー ト酸化膜のリーク電流値である。
図 6は、 同様の膜のフ ラ ッ トバン ド特性を示す。 横軸は電気的膜 厚、 縦軸はフラッ トバン ド電圧である。
図 7 aは、 本発明における複数工程 (マルチプロセス) を用いた ゲー ト酸窒化膜の電気的膜厚の経時変化 (各工程ごとにおける電気 的膜厚の変化) を示す。 横軸は処理時刻、 縦軸は電気的膜厚である 図 8 bは、 図 6 と同様の膜のフラッ トバンド電圧の経時変化 (各 工程ごとにおけるフラッ トバンド電圧の変化) を示す。 横軸は処理 時刻、 縦軸はフラッ トバン ド電圧である。
図 9は、 図 6 と同様の膜における膜中酸素濃度の S I MS分析結 果を示す。 横軸は分析におけるエッチング時間、 縦軸は酸素信号強 度を示す。
図 9は、 ゲー ト酸化膜ゃゲ一 ト絶縁膜が成膜される シリ コン基板 表面の一例を示す模式断面図である。
図 1 0は、 基板表面上へのプラズマ処理の一例を示す模式断面図 である。
図 1 1 は、 プラズマを用いる基板上への S i O2膜の成膜および 窒化処理、 水素プラズマ処理の一例を示す模式断面図である。
図 1 2は、 H i — k材料の成膜の一例を示す模式断面図である。 図 1 3は、 H i — k材料膜上へのゲー ト電極の形成の一例を示す 模式断面図である。
図 1 4は、 M O Sキャパシタの形成の一例を示す模式断面図であ る。
図 1 5は、 イオン打ち込み (イ ンブラ) によるソース、 ドレイ ン 形成の一例を示す模式断面図である。
図 1 6は、 本発明によ り得られる M O S トランジスタ構造の一例 を示す模式断面図である。 発明を実施するための最良の形態
以下、 必要に応じて図面を参照しつつ本発明を更に具体的に説明 する。 以下の記载において量比を表す 「部」 および 「%」 は、 特に 断らない限り質量基準とする。
(絶縁膜の形成方法)
本発明においては、 電子デバイス用基材に少なく と も希ガスを含 む処理ガスを用いたプラズマを照射するこ とでク リ 一二ング効果を 得るものや、 同様のプラズマに酸素や窒素を含むこ とで酸化ゃ窒化 を行う もの、 酸化膜を始めとする酸素原子を含む絶縁膜に同様のプ ラズマに少なく と も水素を含むこ とで絶縁膜の厚さを低減させるな どの 2以上の工程を任意に組み合わせるこ とで、 極めて薄い ( 1 5 A以下) 絶縁膜を形成するこ とができる。 本発明の絶縁膜の形成方 法の適用の対象は特に制限されないが、 本発明は、 例えば、 成膜条 件等に敏感な高誘電率 (H i g h— k ) 材料の成膜に特に適した表 面を有する、 薄い絶縁膜を与える。
(形成される絶縁膜)
本発明によ り形成可能な絶縁膜の組成、 厚さ、 形成法、 特性は以 下の通りである。 組成 : 酸化膜、 酸窒化膜、 窒化膜
形成法 : 少なく と も希ガスを含むプラズマを用いた単一の容器内 において、 電子基材上に洗浄、 酸化、 窒化、 薄膜化の 1 または 2以 上の工程が施されたもの。 もしく は、 同一の動作原理によ り形成さ れる少なく と も希ガスを含むプラズマを複数の容器内に発生させ、 電子基材上に洗浄、 酸化、 窒化、 薄膜化の工程が施されたもの。 厚さ : 物理的薄膜 5 A〜 2 0 A
(膜質および膜厚の評価)
本発明によ り得られた薄い絶縁膜の膜質および膜厚の程度は、 例 えば、 該表面上に実際に H i g h— k材料を成膜することによ り、 好適に評価するこ とができる。 この際に良質な H i g h _ k材料膜 が得られたか否かは、 例えば、 例えば、 文献 ( V L S Iデバイスの 物理 岸野正剛、 小柳光正著 丸善P 6 2〜 P 6 3 ) に記載された よ うな標準的な MO S半導体構造を形成して、 その MO Sの特性を 評価するこ とによ り、 上記絶縁膜自体の特性評価に代えるこ とがで きる。 このよ うな標準的な MO S構造においては、 該構造を構成す る絶縁膜の特性が、 MO S特性に強い影響を与えるからである。 このよ うな MO S構造の形成と しては、 例えば、 後述する実施例 1の条件で、 その H i g h _ k材料膜を含む MO Sキャパシタを形 成することができる。 このよ う に実施例 1の条件で、 H i g h— k 材料膜を含む MO Sキャパシタを形成した場合に、 本発明において は、 下記のよ うな ( 1 ) フラッ トバン ド特性または ( 2 ) リ ーク特 性 (よ り好ま しく は、 これらの両方) が得られるこ とが好ましい。
( 1 ) 好ま しいフラッ トバン ド特性 : 熱酸化膜と比較して土 5 0 m V以内
( 2 ) リ ーク特性 : 熱酸化膜と比較して 1桁以下の低減
(後の処理との組合せ) 本発明の絶縁膜の形成方法によ り得られる薄い絶縁膜は、 種々の 続く処理に適したものとなる。 このよ うな 「後の処理」 は、 特に制 限されず、 酸化膜の形成、 C V D等による成膜、 エッチング等の種 々の処理であってよい。 本発明の絶縁膜の形成方法は、 低温で行う こ とが可能であるため、 その後の処理も比較的低温 (好ましく は 6 0 0 °C以下、 更には 5 0 0 °C以下) の温度条件下の処理と組み合わ せた場合に、 特に効果的である。 その理由は、 本発明を用いるこ と で、 デバイス作製工程においてもつと も高温を必要とする工程の一 つである酸化膜の形成を低温で行う こ とが可能となっているため、 高い熱履歴を避けたデバイス作製が可能となっているからである。 (電子デバイス用基材)
本発明において使用可能な上記の電子デバィス用基材は特に制限 されず、 公知の電子デバイス用基材の 1種または 2種以上の組合せ から適宜選択して使用するこ とが可能である。 このよ うな電子デバ イス用基材の例と しては、 例えば、 半導体材料、 液晶デバイス材料 等が挙げられる。 半導体材料の例と しては、 例えば、 単結晶シリ コ ンを主成分とする材料、 シリ コ ンゲルマニウムを主成分とする材料 等が挙げられる。
(処理ガス)
本発明において使用可能な処理ガスは、 少なく と も希ガスを含む 限り特に制限されず、 電子デバイス製造に使用可能な公知の処理ガ スの 1種または 2種以上の組合せから適宜選択して使用するこ とが 可能である。 このよ うな処理ガス (希ガス) の例と しては、 例えば 、 A r 、 H e 、 K r 、 X e 、 N e 、 O 2、 N 2、 H 2、 N H 3が挙げら れる。
(処理条件)
本発明の絶縁膜の形成においては、 得られるべき薄い絶縁膜の特 性の点からは、 下記の条件が好適に使用できる。
希ガス (例えば、 K r 、 A r 、 H e、 X e または N e ) : 5 0 0 〜 3 0 0 0 s c c m、 よ り好ま しく は 1 0 0 0〜 2 0 0 0 s c c m 洗浄工程では、 少なく と も希ガスを含む処理ガスで、 さ らに水素 ガスを添加するこ とができる。 水素ガスの流量は H2 : 0〜 1 0 0 s c c m、 よ り好ま しく は 0〜 5 0 s c c mである。
酸化工程では、 少なく と も希ガスと酸素を含む処理ガスで、 酸素 ガス流量は O 2 : 1 0〜 5 0 0 s c c m、 よ り好ましく は 1 0〜 2 0 0 s c c mである。
窒化工程では、 少なく と も希ガスと窒素を含む処理ガスで、 窒素 ガス流量は N 2 : 3〜 3 0 0 s c c m、 よ り好ま しく は 2 0〜 2 0 0 s c c mでめる。
ェツチング工程では少なく とも希ガスと水素を含む処理ガスで、 水素ガス流量は H 2 : 0〜 1 0 0 s c c m、 よ り好ま しく は 0〜 5 0 s c c mである。
温度 : 室温 2 5で〜 5 0 0 ¾、 よ り好ま しく は 2 5 0〜 5 0 0 °C 、 特に好ま しく は 2 5 0〜 4 0 0 °C
圧力 : 3〜 5 0 0 P a 、 よ り好ましく は 7〜 2 6 0 P a、 マイ ク ロ波 : l〜 5 W/ c m2、 よ り好ま しく は 2〜 4 W/ c m2 、 特に好ましく は 2〜 3 WZ c m2
本発明において使用可能なプラズマは特に制限されないが、 均一 な薄膜化が容易に得られる点からは、 電子温度が比較的に低く かつ 高密度なプラズマを用いるこ とが好ま しい。
(好適なプラズマ)
本発明において好適に使用可能なプラズマの特性は、 以下の通り である。 電子温度 : 0. 5〜 2. 0 e V
密度 : l E 1 0〜 5 E 1 2 Z c m3
プラズマ密度の均一性 : ± 1 0 %
(平面アンテナ部材)
本発明の絶縁膜の形成方法においては、 複数のスロ ッ トを有する 平面アンテナ部材を介してマイ ク ロ波を照射するこ とによ り電子温 度が低く かつ高密度なプラズマを形成するこ とが好ましい。 本発明 においては、 このよ うな優れた特性を有するプラズマを用いて酸窒 化膜の形成を行うため、 プラズマダメージが小さ く 、 かつ低温で反 応性の高いプロセスが可能となる。 本発明においては、 更に、 (従 来のプラズマを用いた場合に比べ) 平面アンテナ部材を介してマイ ク ロ波を照射するこ とによ り、 よ り好適に薄膜化された絶縁膜の形 成が容易である という利点が得られる。
本発明によれば、 薄膜化された絶縁膜を形成するこ とができる。 したがって、 この薄膜化された絶縁膜上に他の層 (例えば、 他の絶 縁層) を形成するこ とによ り、 特性に優れた半導体装置の構造を形 成するこ とが容易となる。 本発明によ り薄膜化された絶縁膜は、 該 薄膜化絶縁膜の表面上への H i g h - k材料膜の成膜に特に適して レヽる。
(H i g h— k材料)
本発明において使用可能な H i g h— k材料は特に制限されない が、 物理的膜厚を増加させる点からは、 k (比誘電率) の値が 7以 上、 更には 1 0以上のものが好ましい。
このよ う な H i g h— k材料の例と しては、 A l 2O3、 Z r O2 、 H f O2、 T a 2 O5、 および Z r S i O、 H f S i O等のシリ ケ — ト ; Z r A l O等のアルミネー トからなる群から選択される 1又 は 2以上のものが好適に使用可能である。 (同一容器内における処理)
以下に述べる 「同一の容器内」 とは、 ある工程の後に、 被処理基 材を、 該容器の壁を通過させるこ となく、 続く処理に供するこ とを いう。 複数の容器を組み合わせてなる、 いわゆる 「クラスタ」 構造 を用いた場合、 該ク ラスタを構成する異なる容器間の移動があった 場合は、 本発明にいう 「同一の容器内」 ではないものとする。
本発明において、 このよ う に 「同一の容器内」 で、 処理すべき基 材 (シリ コン基板等) を大気へ暴露するこ となく 、 連続的に複数の 工程を同一の原理を持った反応室内で行う こ とが可能となり 、 例え ば一つの反応室ですベての工程を行う こ とでフッ トプリ ントの低減 が実現できる。 また、 各工程を別の反応室で処理する場合も、 動作 原理が同じ反応室を並べるため、 ガス配管や操作パネルを同一のも のにするこ とも可能であり、 優れたメ ンテナンス、 操作性を実現で きる。 更に、 同一の装置であるために装置間の持ち込み汚染の可能 性は低く 、 複数の反応室を持つク ラスター構成と した場合でも、 処 理順番を様々に変えるこ とが可能である。 この方法を用いる と様々 な特性を持つゲー ト絶縁膜の作製が可能となる。
本発明を用いて作製された酸化膜または酸窒化膜をそのままゲー ト絶縁膜と して使用するこ とも可能であるが、 本発明を用いて極薄
(〜 1 O A ; オングス ト ロ ーム) の酸化膜または酸窒化膜を形成し 、 その上に H i g h— kなどの高誘電率を持つ物質を成膜するこ と で、 H i g h— k物質単独でゲー ト絶縁膜を形成した場合よ り も界 面特性、 例えば トランジスタのキャ リ ア移動度の高い積層ゲー ト絶 縁膜構造 (ゲー トスタ ック構造) を作るこ と も可能となる。
( M O S半導体構造の好適な特性)
本発明によ り清浄化された基材上に形成可能な極めて薄く 、 しか も良質な絶縁膜は、 半導体装置の絶縁膜 (特に M O S半導体構造の ゲー ト絶縁膜) と して特に好適に利用するこ とができる。
本発明によれば、 下記のよ うに好適な特性を有する MO S半導体 構造を容易に製造するこ とができる。 なお、 本発明によ り形成した 酸窒化膜の特性を評価する際には、 例えば、 文献 (V L S Iデバイ スの物理 岸野正剛、 小柳光正著 丸善 P 6 2〜 P 6 3 ) に記載さ れたよ うな標準的な MO S半導体構造を形成して、 その MO Sの特 性を評価するこ とによ り、 上記酸窒化膜の自体の特性評価に代える こ とができる。 このよ うな標準的な MO S構造においては、 該構造 を構成する酸窒化膜の特性が、 MO S特性に強い影響を与えるから である。
(製造装置の一態様)
以下、 本発明の形成方法の好適な一態様について説明する。
まず本発明の電子デバィス材料の製造方法によって製造可能な半 導体装置の構造の一例について、 絶縁膜と してゲー ト絶縁膜を備え た MO S構造を有する半導体装置を図 1 を参照しつつ説明する。 図 1 ( a ) を参照して、 この図 1 ( a ) において参照番号 1 はシ リ コ ン基板、 1 1 はフィール ド酸化膜、 2はゲー ト絶縁膜であり、 1 3はゲー ト電極である。 上述したよ う に、 本発明の形成方法によ れば極めて薄く且つ良質なゲー ト絶縁膜 2を形成するこ とができる 。 このゲー ト絶縁膜 2は、 図 1 ( b ) に示すよ うに、 シ リ コ ン基板 1 との界面に形成された、 品質の高い絶縁膜からなる。 例えば 2 n m程度の厚さの酸化膜も しく は酸窒化膜によ り構成されている。
この例では、 この品質の高い酸化膜 2は、 O2、 N2および希ガス を含む処理ガスの存在下で、 S i を主成分とする被処理基体に、 複 数のスロ ッ トを有する平面アンテナ部材を介してマイ ク ロ波を照射 するこ とによ り プラズマを形成し、 このプラズマを用いて前記被処 理基体表面に形成されたシリ コン酸窒化膜 (以下 「 S i ON膜」 と レヽう) からなるこ とが好ま しい。 このよ うな S i O N 2膜を用いた 際には、 後述するよ うに、 相間の界面特性 (例えば、 界面準位) が 良好で、 且つ M O S構造と した際に良好なゲー ト リ ーク特性を得る こ とが容易という特徴がある。
図 1 に示す態様においては、 このシリ コン酸窒化膜の表面の上に は、 更にシ リ コ ン (ポリ シ リ コ ンまたはアモルフ ァスシリ コ ン) を 主成分とするゲ一 ト電極 1 3が形成されている。
(製造方法の一態様)
次に、 このよ うなシリ コン酸窒化膜の製造方法について説明する 図 2 は本発明の電子デバィス材料の製造方法を実施するための半 導体製造装置 3 0の全体構成の一例を示す概略図 (模式平面図) で ある。
図 2に示すよ う に、 この半導体製造装置 3 0のほぼ中央には、 ゥ ェハ W (図 2 ) を搬送するための搬送室 3 1 が配設されており、 こ の搬送室 3 1 の周囲を取り囲むよ う に、 ウェハに種々の処理を行う ためのプラズマ処理ュニッ ト 3 2 、 3 3、 各処理室間の連通ノ遮断 の操作を行うための二機のロー ドロ ツクユニッ ト 3 4および 3 5 、 が配設されている。
ロー ドロ ックユニッ ト 3 4、 3 5の横には、 種々の予備冷却ない し冷却操作を行うための予備冷却ュニッ ト 4 5、 冷却ュニッ ト 4 6 がそれぞれ配設されている。
搬送室 3 1 の内部には、 搬送アーム 3 7および 3 8が配設されて おり 、 前記各ユニッ ト 3 2 〜 3 6 との間でウェハ W (図 2 ) を搬送 するこ とができる。
ロ ー ドロ ツクユニッ ト 3 4および 3 5 の図中手前側には、 ローダ 一アーム 4 1 および 4 2が配設されている。 これらのローダーァー ム 4 1 および 4 2 は、 更にその手前側に配設されたカセッ トステー ジ 4 3上にセッ 卜 された 4台のカセッ ト 4 4 との間でウェハ Wを出 し入れするこ とができる。
なお、 図 2 中のプラズマ処理ユニッ ト 3 2、 3 3 と しては、 同型 のプラズマ処理ュニッ 卜が二基並列してセッ ト されている。
更に、 これらプラズマ処理ュニッ ト 3 2およびュニッ ト 3 3は、 ともにシングルチヤンバ型 C V D処理ュニッ ト と交換するこ とが可 能であり、 プラズマ処理ュニッ ト 3 2や 3 3の位置に一基または二 基のシングルチャンバ型 C V D処理ュニッ トをセッ トするこ と も可 能である。
プラズマ処理が二基の場合、 例えば、 処理ュニッ ト 3 2で S i O 2膜を形成した後、 処理ュニッ ト 3 3で S i 0 2膜を表面窒化する方 法を行っても良く 、 また処理ュニッ ト 3 2および 3 3で並列に S i O 2膜形成と S i O 2膜の表面窒化を行っても良い。
(プラズマ処理装置の一態様)
図 3はゲー ト絶緑膜 2の成膜に使用可能なプラズマ処理ュニッ ト 3 2 ( 3 3 ) の垂直方向の模式断面図である。
図 3 を参照して、 参照番号 5 0は、 例えばアルミニウムによ り形 成された真空容器である。 この真空容器 5 0の上面には、 基板 (例 えばウェハ W ) よ り も大きい開口部 5 1 が形成されており、 この開 口部 5 1 を塞ぐよ うに、 例えば石英や酸化アルミ ニウム等の誘電体 によ り構成された偏平な円筒形状の天板 5 4が設けられている。 こ の天板 5 4の下面である真空容器 5 0の上部側の側壁には、 例えば その周方向に沿って均等に配置した 1 6箇所の位置にガス供給管 7 2が設けられており、 このガス供給管 7 2から O 2 や希ガス、 N 2 および H 2等から選ばれた 1種以上を含む処理ガスが、 真空容器 5 0のプラズマ領域 P近傍にムラなく均等に供給されるよ うになって いる。
天板 5 4の外側には、 複数のスロ ッ トを有する平面アンテナ部材 、 例えば銅板によ り形成された平面アンテナ (R L S A) 6 0を介 して、 高周波電源部をなし、 例えば 2. 4 5 GH zのマイク ロ波を 発生するマイ ク ロ波電源部 6 1 に接続された導波路 6 3が設けられ ている。 この導波路 6 3は、 R L S A 6 0に下縁が接続された偏平 な平板状導波路 6 3 Aと、 この平板状導波路 6 3 Aの上面に一端側 が接続された円筒形導波管 6 3 B と、 この円筒形導波管 6 3 Bの上 面に接統された同軸導波変換器 6 3 Cと、 この同軸導波変換器 6 3 Cの側面に直角に一端側が接続され、 他端側がマイ ク ロ波電源部 6 1 に接続された矩形導波管 6 3 Dとを組み合わせて構成されている 前記円筒形導波管 6 3 Bの内部には、 導電性材料からなる軸部 6 2の、 一端側が R L S A 6 0の上面のほぼ中央に接続し、 他端側が 円筒形導波管 6 3 Bの上面に接続するよ うに同軸状に設けられてお り、 これによ り 当該導波管 6 3 Bは同軸導波管と して構成されてい る。
また真空容器 5 0内には、 天板 5 4 と対向するよ う にウェハ Wの 载置台 5 2が設けられている。 この載置台 5 2には図示しない温調 部が内蔵されており、 これによ り 当該載置台 5 2は熱板と して機能 するよ うになつている。 更に真空容器 5 0の底部には排気管 5 3の 一端側が接続されており、 この排気管 5 3の他端側は真空ポンプ 5 5に接続されている。
(R L S Aの一態様)
図 4は本発明の電子デバイス材料の製造装置に使用可能な R L S A 6 0の一例を示す模式平面図である。
この図 4に示したよ うに、 この R L S A 6 0では、 表面に複数の スロ ッ ト 6 0 a、 6 0 a、 …が同心円状に形成されている。 各スロ ッ ト 6 0 aは略方形の貫通した溝であり、 隣接するス ロ ッ ト どう し は互いに直交して略アルフ ァベッ トの 「T」 の文字を形成するよ う に配設されている。 ス ロ ッ ト 6 0 aの長さや配列間隔は、 マイ ク 口 波電源部 6 1 よ り発生したマイ ク ロ波の波長に応じて決定されてい る。
(プラズマ処理の一態様)
続いて、 本発明に用いるプラズマ処理の一態様について説明する プラズマ処理ユニッ ト 3 2 (図 2 ) 内の真空容器 5 0の側壁に設 けたゲー トバルブ (図示せず) を開いて、 搬送アーム 3 7、 3 8に よ り 、 前記シリ コン基板 1表面にフィール ド酸化膜 1 1 が形成され たウェハ Wを載置台 5 2 (図 3 ) 上に載置する。
続いてゲー トバルブを閉じて内部を密閉した後、 真空ポンプ 5 5 によ り排気管 5 3を介して内部雰囲気を排気して所定の真空度まで 真空引き し、 所定の圧力に維持する。 一方マイク ロ波電源部 6 1 よ り例えば 1 . 8 0 GH z ( 2 2 0 0 W) のマイク ロ波を発生させ、 このマイ ク 口波を導波路によ り案内して R L S A 6 0および天板 5 4を介して真空容器 5 0内に導入し、 これによ り真空容器 5 0内の 上部側のプラズマ領域 Pにて高周波プラズマを発生させる。
こ こでマイ ク ロ波は矩形導波管 6 3 D内を矩形モー ドで伝送し、 同軸導波変換器 6 3 Cにて矩形モー ドから円形モー ドに変換され、 円形モー ドで円筒形同軸導波管 6 3 Bを伝送し、 更に平板状導波路 6 3 Aを径方向に伝送していき、 R L S A 6 0のス ロ ッ ト 6 0 a よ り放射され、 天板 5 4を透過して真空容器 5 0に導入される。 この 際マイ ク 口波を用いているため高密度 · 低電子程度のプラズマが発 生し、 またマイ ク ロ波を R L S A 6 0の多数のス ロ ッ ト 6 0 aから 放射しているため、 このプラズマが均一な分布なものとなる。
酸化膜を形成する場合はマイ ク ロ波の導入に先立ちウェハ Wを図 3の反応室 5 0に導入し、 ステージ 5 2で加熱しながらガス供給管 7 2よ り酸化膜形成用の処理ガスであるク リ プ ト ンやアルゴン等の 希ガスと、 酸素ガスとをそれぞれ 2 0 0 0 s c c m、 2 0 0 s c c mの流量で導入する。 反応室の圧力を 1 3 3 P aに保ちマイ ク ロ波 を 2WZ c m2で導入するこ とでプラズマを発生させ酸素ラジカル をシリ コンウェハ W表面で反応させるこ とでシリ コン酸化膜を形成 する。 酸化前処理の場合は処理ガスと して希ガスのみ、 もしく は希 ガスと水素ガスが好適に用いられる。 窒化処理の場合は処理ガスと して希ガスと窒素を含むガスとが用いられる。
以下、 実施例によ り本発明を具体的に説明する。
実施例
実施例 1
以下の方法によ り、 種々の評価を行う ためのデバイス (1^型1^10 Sキャパシタ) を形成した。
( 1 ) : 基板 (図 9 )
図 9に示されるよ う に、 基板には P型のシ リ コ ン基板を用い、 比 抵抗が 8〜 1 2 Q c m、 面方位 ( 1 0 0 ) のものを用いた。 シリ コ ン基板表面には熱酸化法によ り 5 0 0 A (オングス ト ロ ーム) 犠牲 酸化膜が成膜されている。
( 2 ) : ゲー ト酸化前洗浄
A P M (アンモニア、 過酸化水素水、 純水の混合液) と H PM ( 塩酸、 過酸化水素水、 純水の混合液) および DH F (フ ッ酸と純水 の混合液) を組み合わせた R C A洗浄によつて犠牲酸化膜と汚染要 素 (金属や有機物、 パーティ クル) を除去した。
( 3 ) : 酸化前プラズマ処理 (図 1 0 ) 上記の ( 2 ) の処理後に、 基板上に R L S Aプラズマ処理を施し た (図 1 0 ) 。 処理条件は以下である。 ウェハを図 2の 3 2および 図 3に示される真空 (背圧 l x l O—4 P a以下) の反応処理室に搬 送したのち、 基板温度 4 0 0 °C、 希ガス (例えば A r ガス) 1 0 0 O s c c m、 圧力を 7 P a〜 : 1 3 3 P a ( 5 0 m T o r r〜 l T o r r ) に保持した。 その雰囲気中に複数のス ロ ッ トを有する平面ァ ンテナ部材 (R L S A) を介して 2〜 3 WZ c m2のマイク ロ波を 照射するこ とによ り希ガスプラズマを発生させ、 基板表面上にブラ ズマ処理を施した (図 1 0 ) 。 また、 場合によ り希ガスに水素 5〜 3 0 s c c mを含ませることによ り、 水素プラズマによる酸化前処 理を施す場合がある。
( 4 ) : プラズマ酸化プロセス (図 1 1 )
上記 ( 3 ) の処理が施されたシ リ コ ン基板上に次に示すよ う な方 法で酸化膜を形成した。 ( 3 ) の処理が施されたシ リ コ ン基板に大 気への暴露を行わないまま次のよ うなプロセスを行う (例えば同じ 反応室 3 2で処理を行う 、 真空搬送系を用い、 大気への暴露を防い で他の反応室 3 3で処理を行う等) こ とで、 ( 3 ) の処理で得られ た有機物汚染除去や自然酸化膜除去効果を最適に維持したまま、 酸 化処理を施すこ とが出来る。 4 0 0 °Cに加熱されたシリ コ ン基板上 に希ガスと酸素とをそれぞれ 1 0 0 0〜 2 0 0 0 s c c m、 5 0〜 5 0 0 s c c mずつ流し、 圧力を 1 3 P a〜: L 3 3 P a ( 1 0 0 m T o r r 〜 1 0 0 0 mT o r r ) に保持した。 その雰囲気中に複数 のス ロ ッ トを有する平面アンテナ部材 (R L S A) を介して 2〜 3 W/ c m2のマイ ク 口波を照射するこ とによ り酸素および希ガスと を含むプラズマを形成し、 このプラズマを用いて 3の基板上に S i 02膜を成膜した (図 1 1 ) 。 また、 処理時間を含む処理条件を変 えるこ とで膜厚を制御した。 ( 5 ) : プラズマ窒化プロセス (図 1 1 )
上記 ( 4 ) の処理が施された酸化膜上に次に示すよ う な方法で窒 化を施した。 ( 4 ) の処理が施された酸化膜上に大気への暴露を行 わないまま次のよ うなプロセスを行う (例えば同じ反応室 3 2で処 理を行う、 真空搬送系を用い、 大気への暴露を防いで他の反応室 3 3で処理を行う等) こ とで、 ( 4 ) の処理で得られた酸化膜上部へ の有機物汚染や自然酸化膜増加を抑制したまま、 窒化処理を施すこ とが出来る。 4 0 0 °Cに加熱されたシリ コン基板上に希ガスと窒素 とをそれぞれ 5 0 0〜 2 0 0 0 s c c m、 4〜 5 0 0 s c c mずつ 流し、 圧力を 3 P a〜 l 3 3 P a ( 2 0 m T o r r 〜 l O O O m T o r r ) に保持した。 その雰囲気中に複数のス ロ ッ トを有する平面 アンテナ部材 (R L S A) を介して 3 c m2のマイ ク ロ波を照 射するこ とによ り窒素および希ガスとを含むプラズマを形成し、 こ のプラズマを用いて基板上に酸窒化膜 ( S i O N膜) を成膜した ( 図 1 1 ) 。
( 6 ) : 水素プラズマによる薄膜化と V f b シフ トの回復 (図 1
1 )
( 5 ) の処理が施された酸窒化膜上に次に示すよ うな方法で水素 プラズマによるァニール処理を施した。 ( 5 ) の処理が施された酸 窒化膜上に大気への暴露を行わないまま次のよ うなプロセスを行う (例えば同じ反応室 3 2で処理を行う、 真空搬送系を用い、 大気へ の暴露を防いで他の反応室 3 3で処理を行う等) こ とで、 ( 5 ) の 処理で得られた酸窒化膜上部への有機物汚染や自然酸化膜増加を抑 制したまま、 水素プラズマァニール処理を施すこ とが出来る。 4 0 0 °Cに加熱されたシリ コン基板上に希ガスと水素とをそれぞれ 5 0 0〜 2 0 0 0 s c c m、 4〜 5 0 0 s c c mずつ流し、 圧力を 3 P a〜 1 3 3 P a ( 2 0 m T o r r 〜 l 0 0 0 m T o r r ) に保持し た。 その雰囲気中に複数のスロ ッ トを有する平面アンテナ部材 (R L S A) を介して 2〜 3 W/ c m2のマイ ク 口波を照射することに よ り水素および希ガスとを含むプラズマを形成し、 このプラズマを 用いて酸窒化膜上に水素プラズマァニール処理を施した (図 1 1 ) 。 図 1 1 における S I M S分析サンプルは本工程で処理を止め、 分 析を行ったものである。
( 7 ) : ゲー ト電極用ポリ シリ コン成膜
上記した処理 ( 3 ) 〜 ( 6 ) で形成した酸窒化膜上にゲー ト電極 と してポリ シリ コンを C V D法にて成膜した。 酸窒化膜の成膜され たシリ コン基板を 6 3 0 °Cで加熱し、 基板上にシランガス 2 5 0 s c c mを 3 3 P aの圧力下で導入し 3 0分保持するこ とで S i O2 膜上に膜厚 3 0 0 O Aの電極用ポリ シリ コンを成膜した。
( 8 ) : ポリ シリ コンへの P (リ ン) ドープ
上記 ( 7 ) で作製されたシリ コン基板を 8 7 5 °Cに加熱し、 基板 上に P O C 13ガスと酸素および窒素をそれぞれ 3 5 0 s c c m、 2 0 0 s c c m、 2 0 0 0 0 s c c mずつ常圧下で導入し 2 4分間 保持するこ とでポリ シリ コン中にリ ンを ドープした。
( 9 ) : パターニング、 ゲー トエッチ
上記 ( 8 ) で作製したシリ コン基板上にリ ソグラフィによ りバタ 一二ングを施し、 H F : ΗΝΟ3 : Η2Ο = 1 : 6 0 : 6 0の比の薬 液中にシリ コン基板を 3分間浸すこ とでパターユングされていない 部分のポリ シリ コ ンを溶かし、 MO Sキャパシタを作製した。
実施例 2
実施例 1 で得た MO Sキャパシタに対する測定は、 次に示すよ う な方法で行った。 ゲ一 ト電極面積が 1 0 0 0 0 m2のキャパシタ の C V、 I V特性を評価した。 C V特性は周波数 1 0 0 KH z、 ゲ — ト電圧を + I Vから— 3 V程度まで掃引し各電圧におけるキャパ シタ ンスを評価するこ とで求めた。 C V特性から電気的膜厚と V f b (フラ ッ トバン ド電圧) を計算した。 また、 I V特性はゲー ト電 圧を 0 Vから一 5 V程度まで掃引し、 各電圧において流れる電流値 (リーク電流値) を評価するこ とで求めた。 C V測定から求めた V f bから一 0. 4 Vを差し引いたゲー ト電極電圧における リ ーク電 流値を I V特性から計算した。
図 5は前プラズマ処理を施した場合と施さなかった場合の酸化膜 のリーク特性を比較したものである。 前プラズマ処理の効果のみを 示すため、 こ こで用いられている酸化膜には窒化および後水素処理 は施されていない。 横軸に C V特性から求めた電気的膜厚、 縦軸は ゲー ト電圧 V f b— 0. 4 V (V f bが— 0. 8 V程度のため、 約 - 1 . 2 V) における リ ーク電流値を示した。 図 5から分るよ う に 前プラズマ処理を施すこ とで酸化膜のリーク電流値を低減するこ と に成功している。
図 6は前プラズマ処理を施した R L S Aプラズマ酸化膜と、 現在 一般にデバイスに用いられている熱酸化膜のフラ ッ トバンド特性を 比較したものである。 横軸に C V特性から求めた電気的膜厚、 縦軸 に C V特性から求めたフラ ッ トバン ド電圧を示した。 膜や界面にキ ャ リ アの ト ラップとなる欠陥等が存在する と、 フラ ッ トパン ド電圧 は大き く負方向にシフ トすることが知られているが、 前プラズマ処 理を施した膜は熱酸化膜と同等の値 (約— 0. 8 V) を示しており 、 本工程におけるフラッ トバン ド特性の劣化は見られなかった。
図 7 aは本発明における複数工程 (マルチプロセス) を用いたゲ 一ト酸窒化膜の電気的膜厚の経時変化 (各工程ごとにおける電気的 膜厚の変化) を示す。 横軸は処理時刻、 縦軸は電気的膜厚である。 窒化処理を施すこ とで電気的膜厚を 1〜3. 5 A低減するこ とに成 功している。 また、 後水素処理を施すこ とで更なる薄膜化にも成功 している。
図 7 bは図 9 と同様の膜のフラ ッ トバン ド電圧の経時変化 (各ェ 程ごとにおけるフラ ッ トバン ド電圧の変化) を示す。 横軸は処理時 刻、 縦軸はフラッ トバン ド電圧である。 膜や界面にキヤ リ アの トラ ップとなる欠陥等が存在する と、 フラッ トバン ド電圧は大きく負方 向にシフ 卜するこ とが知られているが、 後プラズマ水素処理を施し た膜はフラ ッ トバン ドシフ トの回復を示しており、 窒化によって劣 化した膜特性の回復が生じているこ とが示される。
図 8から分るよ う に水素処理を施すこ とで膜厚 (酸素の含まれて いる層の厚さ) が減少しているこ とが分る。 これは水素反応種によ る還元作用によるものと考えられる。 この工程を有効に利用するこ とで制御が困難な領域 (〜 1 0 A ) 薄膜化の制御 (エッチング) も 可能となる。
図 7 a 、 bから分るよ うに、 本発明を用いる と、 シリ コン基板を 大気へ暴露するこ となく 、 連続的に複数の工程を同一の原理を持つ た反応室内で行う こ とが可能とな り、 例えば一つの反応室ですベて の工程を行う こ とでフッ トプリ ン トの低減が実現できる。 また、 各 工程を別の反応室で処理する場合も、 動作原理が同じ反応室を並べ るため、 ガス配管や操作パネルを同一のものにするこ とも可能であ り、 優れたメ ンテ、 操作性を実現できる。 更に、 同一の装置である ために装置間の持ち込み汚染の可能性は低く 、 複数の反応室を持つ ク ラスタ一構成と した場合でも、 処理順番を様々に変えるこ とが可 能である。 この方法を用いる と様々な特性を持つゲー ト絶縁膜の作 製が可能となる。
また、 上記の例では本発明を用いて作製され fこ酸窒化膜をそのま まゲー ト絶縁膜と して使用しているが、 本発明を用いて極薄 (〜 1 O A ; オングス ト ロ ーム) の酸窒化膜を形成し、 その上に H i g h 一 kなどの高誘電率を持つ物質を成膜するこ とで、 H i g h— k物 質単独でゲー ト絶縁膜を形成した場合よ り も界面特性、 例えば トラ ンジスタのキャ リ ア移動度の高い積層ゲ一 ト絶縁膜構造 (ゲー トス タ ック構造) を作るこ と も可能となる。
実施例 3
本態様に関わる ロジックデバイ スの製造方法は、 大別して 「素子 分離→MO S トランジスタ作製→容量作製→層間絶縁膜成膜および 配線」 のよ うな流れで行われる。
以下に本発明工程が含まれる MO S ト ランジスタ作製前工程の中 でも、 特に本発明と関連の深い MO S構造の作製について、 一般的 な例を挙げて解説を行う。
( 1 ) : 基板
基板には P型もしく は N型のシリ コン基板を用い、 比抵抗が 1〜 3 0 Ω c m, 面方位 ( 1 0 0 ) のものを用いる。 以下には P型のシ リ コン基板を用いた NHO S ト ランジスタの作製方法について解説 を行う。
シリ コン基板上には目的に応じ、 S T Iや L O C O S等の素子分 離工程やチャネルイ ンブラが施されており、 ゲー ト酸化膜ゃゲー ト 絶縁膜が成膜されるシリ コン基板表面には犠牲酸化膜が成膜されて いる (図 9 ) 。
( 2 ) : ゲー ト酸化膜 (ゲー ト絶縁膜) 成膜前の洗浄
一般に A PM (アンモニア、 過酸化水素水、 純水の混合液) と H PM (塩酸、 過酸化水素水、 純水の混合液) および DH F (フ ッ酸 と純水の混合液) を組み合わせた R C A洗浄によつて犠牲酸化膜と 汚染要素 (金属や有機物、 パーティ クル) を除去する。 必要に応じ 、 S P M (硫酸と過酸化水素水の混合液) 、 オゾン水、 F PM (フ ッ酸、 過酸化水素水、 純水の混合液) 、 塩酸水 (塩酸と純水の混合 液) 、 有機アルカ リ などを用いる時もある。
( 3 ) : 下地酸化前プラズマ処理
( 2 ) の処理後に、 下地酸化膜形成の前工程と して基板上に R L S Aプラズマ処理を施す。 処理条件は例えば以下のよ う なものが考 えられる。 ウェハを真空 (背圧 1 X 1 0— 4 P a以下) の反応処理室 3 2に搬送したのち、 基板温度 4 0 0 °C、 希ガス (例えば A r ガス ) l O O O s c c m、 圧力を 7 P a〜: L 3 3 P a ( 5 0 m T o r r 〜 1 0 0 0 mT o r r ) に保持する。 その雰囲気中に複数のスロ ッ トを有する平面アンテナ部材 (R L S A) を介して 2〜 3 WZ c m 2のマイ ク ロ波を照射するこ とによ り希ガスプラズマを発生させ、 基板表面上にプラズマ処理を施す。 また、 場合によ り混合ガスに水 素 5〜 3 0 s c c m含ませるこ とによ り、 水素プラズマによる酸化 前処理を施す場合がある (図 1 0 ) 。
( 4 ) : 下地酸化膜の形成
( 3 ) の処理が施されたシリ コン基板上に次に示すよ うな方法で 酸化膜を形成する。 ( 3 ) の処理が施されたシ リ コ ン基板に大気へ の暴露を行わないまま次のよ うなプロセスを行う (例えば同じ反応 室 3 2で処理を行う) こ とで、 ( 3 ) の処理で得られた有機物汚染 除去ゃ自然酸化膜除去効果を最適に維持したまま、 酸化処理を施す こ とが出来る。 4 0 0 °Cに加熱されたシリ コン基板上に希ガスと酸 素とをそれぞれ 1 0 0 0〜 2 0 0 0 s c c m、 5 0〜 5 0 0 s c c mずつ流し、 圧力を 1 3 P a 〜 1 3 3 P a ( 1 0 0 mT o r r〜 l O O O mT o r r ) に保持する。 その雰囲気中に複数のスロ ッ トを 有する平面アンテナ部材 (R L S A) を介して 2〜 3 c m2の マイ ク ロ波を照射するこ とによ り酸素および希ガスとを含むプラズ マを形成し、 このプラズマを用いて 3の基板上に S i O2膜を成膜 する。 また、 処理時間を含む処理条件を変えるこ とで膜厚を制御す るこ とが可能である (図 1 1 ) 。
( 5 ) : プラズマ窒化プロセス
上記 ( 4 ) の処理が施された酸化膜上に次に示すよ う な方法で窒 化を施す。 ( 4 ) の処理が施された酸化膜上に大気への暴露を行わ ないまま次のよ うなプロセスを行う (例えば同じ反応室 3 2で処理 を行う、 真空搬送系を用い、 大気への暴露を防いで他の反応室 3 3 で処理を行う等) こ とで、 ( 4 ) の処理で得られた酸化膜上部への 有機物汚染や自然酸化膜増加を抑制したまま、 窒化処理を施すこ と が出来る。 4 0 0 °Cに加熱されたシリ コ ン基板上に希ガスと窒素と をそれぞれ 5 0 0〜 2 0 0 0 s c c m、 4〜 5 0 0 s c c mずつ流 し、 圧力を 3 P a〜: 1 3 3 P a ( 2 0 m T o r r 〜:! O O O mT o r r ) に保持する。 その雰囲気中に複数のス ロ ッ トを有する平面ァ ンテナ部材 (R L S A) を介して 2〜 3 c m2のマイク ロ波を 照射するこ とによ り窒素および希ガスとを含むプラズマを形成し、 このプラズマを用いて基板上に酸窒化膜 ( S i ON膜) を成膜する (図 1 1 ) 。
( 6 ) : 水素プラズマによる薄膜化と V f b シフ 卜の回復 上記 ( 5 ) の処理が施された酸窒化膜上に次に示すよ うな方法で 水素プラズマによるァニール処理を施す。 ( 5 ) の処理が施された 酸窒化膜上に大気への暴露を行わないまま次のよ うなプロセスを行 う (例えば同じ反応室 3 2で処理を行う 、 真空搬送系を用い、 大気 への暴露を防いで他の反応室 3 3で処理を行う等) こ とで、 ( 5 ) の処理で得られた酸窒化膜上部への有機物汚染や自然酸化膜増加を 抑制したまま、 水素プラズマァニール処理を施すこ とが出来る。 4 0 0 °Cに加熱されたシリ コン基板上に希ガスと水素とをそれぞれ 5 0 0〜 2 0 0 0 s c c m、 4〜 5 0 0 s c c mずつ流し、 圧力を 3 P a〜 1 3 3 P a ( 2 0 mT o r i: 〜 l O O O m T o r r ) に保持 する。 その雰囲気中に複数のス ロ ッ トを有する平面アンテナ部材 (
R L S A) を介して 2〜 3 W/ c m2のマイ ク ロ波を照射するこ と によ り水素および希ガス とを含むプラズマを形成し、 このプラズマ を用いて酸窒化膜上に水素プラズマァニール処理を施す (図 1 1 )
( 7 ) : H i g h— kゲー ト絶縁膜の形成
上記 ( 6 ) で形成された下地酸窒化膜上に H i g h— k物質を成 膜する。 H i g h _ kゲー ト絶縁膜形成方法には C VDを用いるプ 口セス と P VDを用いるプロセスとに大別される。 ここでは主に C VDによるゲ一 ト絶縁膜の形成について述べる。 C VDによるゲ一 ト絶縁膜の形成は、 原料ガス (例えば H T B : H f (O C2 H5) 4 と S i H4) を 2 0 0 °Cから 1 0 0 0 °Cの範囲内で加熱した前述の シリ コ ン基板上に供給し、 熱によって形成された反応種 (例えば H f ラジカルと S i ラジカル、 Oラジカル) を膜表面にて反応させる こ とで成膜 (例えば H f S i O) を行う。 反応種はプラズマによ り 生成されるこ と もある。 一般にゲー ト絶縁膜の物理的な膜厚と して は 1 n mから 1 O n mの膜厚が用いられる (図 1 2 ) 。
( 8 ) : ゲー ト電極用ポリ シリ コン成膜
上記 ( 7 ) で形成した H i g h _ kゲー ト絶縁膜 (下地ゲー ト酸 化膜を含む) 上に MO S ト ラ ンジスタのゲー ト電極と してポリ シリ コ ン (アモルフ ァスシリ コ ンを含む) を C VD法にて成膜する。 ゲ 一 ト絶緣膜の成膜されたシリ コン基板を 5 0 0 °Cから 6 5 0 °Cの範 囲内で加熱し、 基板上にシリ コ ンを含むガス (シラン、 ジシラン等 ) を 1 0から 1 O O P aの圧力下で導入するこ とでゲー ト絶縁膜上 に膜厚 5 0 n mから 5 0 0 n mの電極用ポリ シ リ コ ンを成膜する。 ゲー ト電極と してはポリ シリ コ ンの代替と して、 シリ コンゲルマ二 ゥムゃメ タノレ (W、 R u、 T i N、 T a、 M oなど) が用いられる こ とがある (図 1 3 ) 。
その後、 ゲー トのパターンニング、 選択エッチングを行い、 MO Sキャパシタを形成し (図 1 4 ) 、 イオン打ち込み (イ ンブラ) を 施してソース、 ドレイ ンを形成する (図 1 5 ) 。 その後ァニールに よ り ド一パン ト (チャネル、 ソース、 ドレイ ンヘイ ンブラされた リ ン (P ) 、 ヒ素 (A s ) 、 ホウ素 (B) 等) の活性化を行う。 続い て後工程となる層間絶縁膜の成膜、 パターンユング、 選択エツチン グ、 メ タルの成膜を組み合わせた配線工程を経て本様態に関わる M O S ト ランジスタが得られる (図 1 6 ) 。 最終的にこの トランジス タ上部に様々なパターンで配線工程を施し、 回路を作るこ とでロジ ックデバイスが完成する。
なお、 本実施例では絶縁膜と して H f シリ ケイ ト (H f S i O膜 ) を形成したが、 それ以外の組成からなる絶縁膜を形成するこ とも 可能である。 ゲー ト絶縁膜と しては、 従来よ り使われている低誘電 率の S i O2、 S i ON、 また誘電率が比較的高い S i Nや H i g h— k物質と呼ばれる誘電率が高い A l 2O3、 Z r O2、 H f 〇2、 T a 205、 および Z r S i O、 H f S i O等のシリ ケー トゃ Z r A 1 O等のアルミネー 卜からなる群から選択される 1又は 2以上の ものが挙げられる。
また、 本実施例では、 下地のゲー ト酸窒化膜形成を目的と してい るが、 H i g h _ k物質の成膜を行わず、 下地ゲー ト酸窒化膜をそ のままゲ一 ト絶縁膜と して用いるこ とも下地酸化膜の膜厚を制御す ることで可能である。
また、 窒化処理を行わない酸化膜を下地に用いたり、 酸化膜その ものをグー ト絶縁膜と して用いるこ と も可能である。
さ らに、 必要に応じて酸化前処理や後水素処理を省いたり、 処理 順序を変えるこ と も可能である。 以下に目的に応じた処理順序の例を示す。
1 : ゲー ト酸化膜の形成
酸化前処理→酸化処理→P 0 1 y成膜
2 : ゲー ト酸窒化膜の形成一 1
酸化前処理→酸化処理—窒化処理→後水素処理→ P o 1 y成膜
3 : ゲー ト酸窒化膜の形成一 2
酸化前処理→窒化処理—酸化処理→後水素処理→ P o 1 y成膜
4 : H i g h - k下地酸化膜の形成
酸化前処理→酸化処理—後水素処理による薄膜化→H i g h— k成 膜→P o 1 y成膜
5 : H i g h— k下地窒化膜の形成
窒化前処理 (酸化前処理と同様) →窒化処理→後水素処理→H i g h 一 k成膜→P o 1 y成膜
上記に述べたのは本発明の態様の一例であり、 それ以外にも様々 な処理方法が同一の装置構成で可能である。
これまで述べたよ う に、 本発明を用いる と、 シ リ コ ン基板を大気 へ暴露するこ となく、 連続的に複数の工程を同一の原理を持った反 応室内で行う こ とが可能となり、 例えば一つの反応室で洗浄、 酸化 、 窒化、 エッチングからなる複数の工程を行う こ とでフッ トプリ ン 卜の低減が実現できる。 また、 各工程を別の反応室で処理する場合 も、 動作原理が同じ反応室を並べるため、 ガス配管や操作パネルを 同一のものにすること も可能であり 、 優れたメ ンテナンス、 操作性 を実現できる。 更に、 同一の装置であるために装置間の持ち込み汚 染の可能性は低く 、 複数の反応室を持つク ラスター構成と した場合 でも、 処理順番を様々に変えるこ とが可能である。 この方法を用い る と様々な特性を持つゲー ト絶縁膜の作製が可能となる。 産業上の利用可能性
上述したよ うに本発明に依れば、 様々な特性 (例えば、 極薄膜厚 の制御や、 高い清浄度等) に優れた絶縁膜を効率よく (例えば、 一 つの反応室で洗浄、 酸化、 窒化、 エッチングからなる複数の工程を 行う こ とによる小さいフッ トプリ ン トや、 同一の動作原理の反応室 で様々な工程を行う こ とによる操作性の簡略化、 装置間のク ロ スコ ンタ ミネーシヨ ンの抑制等) 製造するこ とが可能となる。

Claims

求 の 範 囲
1 . 電子デパイス用基材上に絶縁膜を形成するプロセスにおいて 、 該工程に含まれる絶縁膜特性を制御する 2以上の工程が、 同一の 動作原理下で行われるこ とを特徴とする基材表面の絶縁膜の形成方 法。
2 . 前記同一の動作原理下で行われる工程が、 前記基材表面およ びノ又は絶縁膜の洗浄、 酸化、 窒化、 およびエッチングからなる群 から選ばれる 2以上の工程である請求項 1 に記載の絶縁膜の形成方 法。
3 . 前記電子デバイ ス用基材が、 半導体材料である請求項 1 また は 2に記載の絶緣膜の形成方法。
4 . 前記電子デバイス用基材が、 単結晶シ リ コ ンを主成分とする 基板である請求項 1〜 3のいずれかに記載の絶縁膜の形成方法。
5 . 前記動作原理が、 少なく と も希ガスを含む処理ガスに基づく プラズマを含む請求項 1 〜 4のいずれかに記載の絶縁膜の形成方法
6 . 前記プラズマが、 平面アンテナ部材 (R L S A ) を介するマ イ ク 口波照射に基づく プラズマである請求項 5に記載の絶縁膜の形 成方法。
7 . 前記プロセスが洗浄工程を含み、 且つ、 該洗浄工程が、 少な く とも希ガスを含む処理ガスに基づく プラズマに基づく処理を含む 請求項 1 〜 6のいずれかに記載の絶縁膜の形成方法。
8 . 前記洗浄工程が、 少なく と も希ガスと水素ガスを含む処理ガ スに基づく プラズマ処理を含む請求項 7 に記載の絶縁膜の形成方法
9 . 前記プロセスが酸化工程を含み、 且つ、 該酸化工程が、 少な く と も希ガス と酸素とを含む処理ガスに基づく プラズマ処理を含む 請求項 1〜 8のいずれかに記載の絶縁膜の形成方法。
1 0. 前記プロセスが窒化工程を含み、 且つ、 該窒化工程が、 少 なく とも希ガスと窒素とを含む処理ガスに基づく プラズマ処理を含 む請求項 1〜 9のいずれかに記載の絶縁膜の形成方法。
1 1. 前記プロセスがエッチング工程を含み、 且つ、 該エツチン グ工程が、 少なく とも希ガスと水素とを含む処理ガスに基づく ブラ ズマ処理を含む請求項 1〜 9のいずれかに記載の絶縁膜の形成方法
1 2. 前記基材表面および Z又は絶縁膜の洗浄、 酸化、 窒化、 お よびエッチングからなる群から選ばれる 2以上の工程が、 同一容器 内で行われる請求項 2に記載の絶縁膜の形成方法。
1 3. 前記プロセスによ り形成された絶縁膜が、 C V D (化学気 相堆積) 絶縁膜の下地絶縁膜と して用いられる請求項 1〜 1 2のい ずれかに記載の絶縁膜の形成方法。
1 4. 前記絶縁膜が、 H i g h— k (高誘電率) 材料を含む絶縁 膜である請求項 1〜 1 3のいずれかに記載の絶縁膜の形成方法。
1 5. 前記基材表面および Z又は絶縁膜の洗浄、 酸化、 窒化、 お よびエッチングからなる群から選ばれる 2以上の工程が、 該基材表 面および Z又は絶縁膜の大気への暴露 (大気解放) を避けて行われ る請求項 2に記載の絶縁膜の形成方法。
PCT/JP2003/004091 2002-03-29 2003-03-31 Procede de formation d'un film isolant WO2003083925A1 (fr)

Priority Applications (5)

Application Number Priority Date Filing Date Title
AU2003221023A AU2003221023A1 (en) 2002-03-29 2003-03-31 Method for forming insulation film
US10/509,370 US7446052B2 (en) 2002-03-29 2003-03-31 Method for forming insulation film
KR1020047012721A KR100782954B1 (ko) 2002-03-29 2003-03-31 절연막 형성 방법
US12/145,971 US7662236B2 (en) 2002-03-29 2008-06-25 Method for forming insulation film
US12/647,902 US20100096707A1 (en) 2002-03-29 2009-12-28 Method for Forming Insulation Film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002097906A JP4001498B2 (ja) 2002-03-29 2002-03-29 絶縁膜の形成方法及び絶縁膜の形成システム
JP2002-97906 2002-03-29

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US10509370 A-371-Of-International 2003-03-31
US12/145,971 Continuation US7662236B2 (en) 2002-03-29 2008-06-25 Method for forming insulation film
US12/145,971 Division US7662236B2 (en) 2002-03-29 2008-06-25 Method for forming insulation film

Publications (1)

Publication Number Publication Date
WO2003083925A1 true WO2003083925A1 (fr) 2003-10-09

Family

ID=28671932

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/004091 WO2003083925A1 (fr) 2002-03-29 2003-03-31 Procede de formation d'un film isolant

Country Status (6)

Country Link
US (3) US7446052B2 (ja)
JP (1) JP4001498B2 (ja)
KR (1) KR100782954B1 (ja)
AU (1) AU2003221023A1 (ja)
TW (1) TWI228774B (ja)
WO (1) WO2003083925A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100437937C (zh) * 2004-03-31 2008-11-26 东京毅力科创株式会社 金属硅酸盐膜的成膜方法及其装置、半导体装置的制造方法

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
JP2005277318A (ja) * 2004-03-26 2005-10-06 Semiconductor Leading Edge Technologies Inc 高誘電体薄膜を備えた半導体装置及びその製造方法
US20050278335A1 (en) * 2004-05-21 2005-12-15 Bea Systems, Inc. Service oriented architecture with alerts
US7915179B2 (en) 2004-11-04 2011-03-29 Tokyo Electron Limited Insulating film forming method and substrate processing method
JP2006186245A (ja) * 2004-12-28 2006-07-13 Tokyo Electron Ltd トンネル酸化膜の窒化処理方法、不揮発性メモリ素子の製造方法および不揮発性メモリ素子、ならびにコンピュータプログラムおよび記録媒体
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
JP4509839B2 (ja) * 2005-03-29 2010-07-21 東京エレクトロン株式会社 基板処理方法
US20090239352A1 (en) * 2005-03-31 2009-09-24 Tokyo Electron Limited Method for producing silicon oxide film, control program thereof, recording medium and plasma processing apparatus
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US7642152B2 (en) * 2005-09-07 2010-01-05 United Microelectronics Corp. Method of fabricating spacers and cleaning method of post-etching and semiconductor device
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
TW200805501A (en) * 2006-05-22 2008-01-16 Tokyo Electron Ltd Method and apparatus for forming silicon oxide film
JP2008028252A (ja) * 2006-07-24 2008-02-07 Toshiba Matsushita Display Technology Co Ltd 半導体層の処理方法、半導体層の処理装置、薄膜トランジスタの製造方法及び薄膜トランジスタの製造装置
WO2008041601A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil d'oxydation par plasma et support de stockage
JP4361078B2 (ja) * 2006-11-20 2009-11-11 東京エレクトロン株式会社 絶縁膜の形成方法
CN101548190A (zh) * 2006-12-18 2009-09-30 应用材料股份有限公司 低能量、高剂量砷、磷与硼注入晶片的安全处理
EP2058844A1 (en) 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102460638A (zh) * 2009-06-26 2012-05-16 东京毅力科创株式会社 通过无定形碳(少量添加硅)的含氧掺杂改善氟碳化合物(CFx)膜的粘附性的技术
US8071451B2 (en) * 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP4523994B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP4523995B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP5615207B2 (ja) * 2011-03-03 2014-10-29 株式会社東芝 半導体装置の製造方法
JP2011176320A (ja) * 2011-03-07 2011-09-08 Hitachi Kokusai Electric Inc 基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101907972B1 (ko) * 2011-10-31 2018-10-17 주식회사 원익아이피에스 기판처리장치 및 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6030455B2 (ja) 2013-01-16 2016-11-24 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN106653675B (zh) * 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140397A (ja) * 1997-05-22 1999-02-12 Canon Inc 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
JP2001111000A (ja) * 1999-08-14 2001-04-20 Samsung Electronics Co Ltd 半導体素子及びその製造方法
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20020014666A1 (en) * 1999-11-30 2002-02-07 Tadahiro Ohmi Semiconductor device formed on (111) surface of a si crystal and fabrication process thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5767009A (en) * 1980-10-02 1982-04-23 Semiconductor Energy Lab Co Ltd Formation of film
JPS63170927A (ja) * 1987-01-09 1988-07-14 Nippon Steel Corp シリコン酸化皮膜の形成方法およびその装置
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6171978B1 (en) * 1999-05-27 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of manufacturing capacitor dielectric
JP3817417B2 (ja) * 1999-09-29 2006-09-06 株式会社東芝 表面処理方法
US6689284B1 (en) * 1999-09-29 2004-02-10 Kabushiki Kaisha Toshiba Surface treating method
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100368311B1 (ko) * 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
US6632729B1 (en) * 2002-06-07 2003-10-14 Advanced Micro Devices, Inc. Laser thermal annealing of high-k gate oxide layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140397A (ja) * 1997-05-22 1999-02-12 Canon Inc 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
JP2001111000A (ja) * 1999-08-14 2001-04-20 Samsung Electronics Co Ltd 半導体素子及びその製造方法
US20020014666A1 (en) * 1999-11-30 2002-02-07 Tadahiro Ohmi Semiconductor device formed on (111) surface of a si crystal and fabrication process thereof
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100437937C (zh) * 2004-03-31 2008-11-26 东京毅力科创株式会社 金属硅酸盐膜的成膜方法及其装置、半导体装置的制造方法

Also Published As

Publication number Publication date
TW200401368A (en) 2004-01-16
KR20040086384A (ko) 2004-10-08
US7446052B2 (en) 2008-11-04
JP4001498B2 (ja) 2007-10-31
KR100782954B1 (ko) 2007-12-07
US20050161434A1 (en) 2005-07-28
JP2003297822A (ja) 2003-10-17
US20080274370A1 (en) 2008-11-06
US20100096707A1 (en) 2010-04-22
AU2003221023A1 (en) 2003-10-13
TWI228774B (en) 2005-03-01
US7662236B2 (en) 2010-02-16

Similar Documents

Publication Publication Date Title
JP4001498B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成システム
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4429300B2 (ja) 電子デバイス材料の製造方法
JP4401375B2 (ja) 電子デバイス材料の製造方法
JP2004356528A (ja) 絶縁膜の改質方法
JP4083000B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法
JP4562751B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020047012721

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10509370

Country of ref document: US

122 Ep: pct application non-entry in european phase