TWI228774B - Forming method of insulation film - Google Patents

Forming method of insulation film Download PDF

Info

Publication number
TWI228774B
TWI228774B TW092107316A TW92107316A TWI228774B TW I228774 B TWI228774 B TW I228774B TW 092107316 A TW092107316 A TW 092107316A TW 92107316 A TW92107316 A TW 92107316A TW I228774 B TWI228774 B TW I228774B
Authority
TW
Taiwan
Prior art keywords
insulating film
forming
film
patent application
substrate
Prior art date
Application number
TW092107316A
Other languages
English (en)
Other versions
TW200401368A (en
Inventor
Takuya Sugawara
Yoshihide Tada
Genji Nakamura
Shigenori Ozaki
Toshio Nakanishi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200401368A publication Critical patent/TW200401368A/zh
Application granted granted Critical
Publication of TWI228774B publication Critical patent/TWI228774B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12806Refractory [Group IVB, VB, or VIB] metal-base component

Description

1228774 玖、發明說明: 【發明所屬之技術領域】 本發明係關於一種可有效率地(例如)製造各種優越特性 (例如極薄膜厚之控制或高潔淨度等以一反應室實施各種 工序所帶來之小的腳印(footprint)、或是以同一動作原理之 反應室實施各種工序所帶來之操作性簡化、裝置間交又污 染之抑制)的絕緣膜之方法。本發明之電子裝置材料之製造 万法,可有效率地適用於例如半導體或半導體裝置(例如具 有優越特性的閘絕緣膜之MOS(金屬氧半導體)半導體結構 者)用材料之形成。 【先前技術】 一般而言,本發明可廣泛適用於半導體或半導體裝置、 液晶裝置等電子裝置之材料製造,但為說明方便,在此則 以半導體裝置(devices)之背景技術為例而加以說明。 通常對於以矽為首的半導體或電子裝置用材料用基 材,需要施加氧化膜之形成、使用CVD(化學氣相沉積)等 之成膜、姓刻等各種處理。 近幾年的半導體裝置之高性能化,說其係在以電晶體為 首的該裝置之微細化技術促使下所發展也不為過。目前也 正在朝更it步的冋性能化目標而推行電晶體微細化技 術之改善。隨著最近之半導體裝置微細化及高性能化之要 求(例如在洩漏電流方面),對於高性能絕緣膜之需求更為 強烈。这疋因為即使為在先前積集度低的裝置中事實上不 致構成問題程度之洩漏電流,但在最近經微細化及/或高 83566.doc 1228774 性能化的裝置,卻也有可能造成嚴厲問題之緣故。尤其是 在最近抬起頭的所謂的YUBIKYTAS(日語譯音)社會(以無 論在何時何處也能上網路的電子裝置作為媒體之資訊化 社會)中,低功率消耗裝置對於可攜型電子機器之發展上是 必不可少的要素,因而該洩漏電流之降低必成為極其重要 之課題。 典型的是例如在研發次世代MOS電晶體上,隨著如上述 微細化技術之進展,閘絕緣膜之薄膜化已接近其極限,而 出現須加以克服之大課題。換言之在製程技術上,雖可使 目前作為閘絕緣膜而使用之二氧化矽膜(si〇2)薄膜化至極 限(1至2個原子層層次),但薄膜化進行至2 nm以下膜厚 時,郃會產生量子效應所引起直接隧穿現象的洩漏電流之 指數函數性增加,而導致消耗功率增大之問題。若持續的 追求高性能彻(大型積體)之胸匕,貝m造編電流 增大、消耗功率亦會增大之問題。 目前IT(資訊技術)市埸正在由以桌上型個人電腦或家屈 電話為代表的固定式電子裝置(由插座供給電源之裝置), 轉移至無論在何時何地也能上網路等之YUBIKYTAS(g# 譯音)網路社會。因此在極其最近的將來,可㈣電話^ 車駕驶導向㈣等可攜式終端器將成為主流。如此 式終端器,當然其本身必須為屬高性 " U ) 牝裝置,但與此同的 也必須具備在上述固定式裝置 、 n W不那曆重視之#能 型、輕量且耐長時間使用之機能 -,ψ . ^ 匕?尤可攜式終端器而 5求14些4性能化且低消耗功率化乃是極其重要之 83566.doc 1228774 課題。 典型的是例如在研發次世代M0S電晶體上,若持續的追 大=能石夕LSI(大㈣體)之微細化,則將造成戌漏電流增 消耗功率亦會增大之問題。因此為在不致影 H少消耗功率’必須在不增加刪電晶體之_漏=流 下進行電晶體特性之改善。 如上述之微細化及改善特性兩立,不可或缺的是優 貝且潯的(例如膜厚約為15入(埃)以下)絕緣膜之形成。 惟優質且薄的絕緣膜之形成卻是極其困難之事,例如以 傳統熱氧化法或CVD法形成如此之絕緣膜時,膜質或膜厚 中任一者之特性必將成為不夠完善者。 — 【發明内容】 本發明 < 目的在於提供一種可消除上述先前技術之缺 點的電子裝置用基材上之薄絕緣膜之形成方法。 本發明之其他目的在於提供一種可順利實施其後之處 理’且可形成膜質或膜厚中任―均為優越的絕緣膜之電子 裝置用基材表面之薄絕緣膜形成方法。 〜本發明 < 另一其他目的在於藉由使用同一動作原理而 實施有關上述絕緣膜形成之各種工序,實現裝置形態簡 化,而有效率地形成特性優越的絕緣膜。 本發明人經專心研究結果,發現並非如先前之以一裝置 只貫施一工序,而使用以一裝置即可實施各種工序之方法 而形成絕緣膜之方法,在達成上述目的上極其有效。 本發明 < 電子裝置用基材表面之絕緣膜形成方法係根 83566.doc 1228774 據上述見解所完成,更明確地說,其特徵為:在電子裝置 用基材上形成絕緣膜之工序中,包含在該工序而用以控制 、、、巴緣膜特性之兩種以上工序,係在同一動作原理下進行。 在本發明,若組合兩種以上工序例如藉由在電子裝置用 基材照射使用至少含稀有氣體的電漿而獲得洗淨效果 者,或在同樣的電漿使氧或氮含在其中而實施氧化或氮化 者,對於氧化膜以及含氧原子之絕緣膜,在同樣的電漿至 少使氫含在其中即可使絕緣膜厚度減少。 若依照具有上述構成之本發明絕緣膜之形成方法,則經 將重點放在膜質而形成任意膜厚之膜後,以特定的電漿處 理而薄膜化,即可容易製得任意膜厚之絕緣膜。 【實施方式】 說明本發明。在下述 除非另有特別加註, 以下’必要時在參照圖式下更具體 陳述中表示量比之「部」及「%」, 均以質·量基準為準。 (絕緣膜之形成方法) 在本發明,若組合兩種以上工序,例如藉由在電子裝5 使用至少含稀有氣體的電漿而獲得洗淨效果 ::在同樣的電漿使氧或氮含在其中,藉以實施氧化或 = 對相乳化膜為首的含氧原子之絕緣膜,在同樣 使氫含在其中’藉以減少絕緣膜厚度等兩種以 =即:形成極薄(15A以下)的絕緣膜。本發明絕緣 且有骑象並無特職定,但本發明可形成 八 通合於高介電常數(H咖k)材料之成膜的薄 83566.doc 1228774 絕緣膜。按該高介電常數材料係對於成膜條件敏感的材 料。 (可形成之絕緣膜) 以本發明可形成的絕緣膜之組成、厚度、形成法、特性 如下。 組成:氧化膜、氧氮化膜、氮化膜 形成法:在使用至少含稀有氣體的電漿之單一容器内, 在電子基材上施加洗淨、氧化、氮化、薄膜化之一或兩種 以上之工序者,或是使以同一動作原理所形成且至少含稀 有氣體的電漿,產生於複數個容器内而在電子基材上施加 洗淨、氧化、氮化、薄膜化之工序者。 ’ 厚度:物理性薄膜 5人至20人 (膜質及膜厚之評價) 經由本發明所製得薄的絕緣膜之膜質及膜厚之程度,在 該表面上實際使High-k材料成膜,即可有效地加以評價。 此時是否已製得良質High-k材料膜,則例如形成如文獻 (VLSI(超大型積體電路)裝置之物理,岸野正剛、小柳光正 著丸善p 62至63)所記載的標準性MOS半導體結構,而評價 其MOS特性即可代替上述基底膜之特性評價。這是因為在 如此之標準性MOS結構,構成該結構的基底膜之特性會對 MOS特性造成強烈影響之緣故。 如此MOS結構之形成,例如以後述實施例1之條件即可 形成含其High-k材料膜之MOS電容器。如此以實施例1之條 件形成含High-k材料膜之MOS電容器時,在本實施例較佳 83566.doc -11 - 1228774 為應製得=下逑之⑴平帶(細_band)特性或⑽漏特性 (更佳為該等之雙方)。 ⑴較佳的平帶特性:與熱氧化膜比較為±5〇mV以内. ⑺淺漏特性:與熱氧化膜比較為減少一位數以下 (與後續處理之組合) 入經由本發明絕緣膜之形成方法製得之薄的絕緣膜,係 U於各種後續處理。如此之「後續處理」並無特別限定, m匕膜之!成、使用cvd法等之成膜、姓刻等各種處 Λ H緣膜形成方法由於可以低溫實施,其後婧 處理若也與比較低溫(較佳為崎以下,更佳為500t以;) =度人條件下之處理組合,則其效果特別顯著。其理由在 ,卩了在低Μ下料在裝置製作工序中 取而要向溫的工序之一的氣化臌 今㈣ ⑻匕艇形成,所以可實現避免高 熱履歷的裝置製作之故。 (電子裝置用基材) =本:明:’可供使用的上述電子裝置用基材並無特別 …週當地選自公知電子裝置用基材中-或兩種以上 惑组合而使用。如此電子裝置用基 體材料、液晶裝置材料等。半導體則有例如半導 於&α 材枓可使用例如以單晶 夕為王成分之材料、以矽鍺為主成分之材料等。 (製程氣體) 在本發明可使用之製程氣體只要 目1丨* A u y 3有稀有氣體 J並操特別限足,可週當地選自公知電子 或兩種以上之組合而使用。如此土材中一 - 乳體(稀有氣體)之 83566.doc -12- 1228774 例子有例如:Ar(氬)、He(氦)、Kr(氪)、Xe(氙)、Ne(氖)、 〇2(氧)、N2(氮)、H2(氫)、NH3(氨)。 (處理條件) 在形成本發明之絕緣膜時,基於預定形成的薄絕緣膜特 性之觀點,較佳為使用下述條件。 稀有氣體(例如 Kr、Ar、He、Xe 或 Ne): 5 00 至 3000 seem, 更佳為 1 〇00至 2000 seem, 在洗淨工序,則使用至少含稀有氣體之製程氣體,再可 加添氫氣體。氫氣體之流量為Kb : 0至100 seem,更佳為〇 至 50 seem 〇 在氧化工序,則使用至少含稀有氣體與氧之製程氣體, 氧氣體流量為…:⑺至⑽^“^更佳為⑺至]^^^ 在氮化工序’則使用至少含稀有氣體與氮之製程氣體, 氬氣體之流量為N2 : 3至300 seem,更佳為20至200 seem, 在姓刻工序,則使用至少含稀有氣體與氫之製程氣體, 氫氣體之流量為H2 : 0至100 sccm,更佳為〇至50 sccm。 溫度:室溫25°C至500°C,較佳為250至500。〇,更佳為 250至 400°C、 壓力:3至500 Pa,更佳為7至260 Pa、 微波·· 1至5 W/cm2,較佳為2至4 W/cm2,更佳為2至3 W/cm2 〇 在本發明中,可供使用的電漿並無特別限定,但基於可 容易獲得均勻薄膜化之觀點,較佳為使用電子溫度較低且 高密度的電漿。 83566.doc -13 - 1228774 (較適合的電漿) 在本發明中,可供使用的電漿之特性如下。 電子溫度:0.5 - 2.0 eV 密度:1 E 1〇至 5 E 12/cm3 電漿密度均一性:±丨〇 % (平面天線構件) 。在本發明絕緣膜之形成方法中,較佳為介以具有複數個 隙缝(slot)的平面天線(RLSA;⑽⑽“咖咖,也有稱 為S PA之情形)構件而照射微波以形成電子溫度低且高密 度的電漿。本發明由於使用具有如此優越特性之電浆而形 =基底膜,所以可實現電漿損傷小、且低溫又高反應性之 製程。在本發明中由於更進一步的(與使用傳統電漿之情形 相較)介以平面天線而照射微波’因此可獲得容易形成出優 質基底膜之優點。 若依照本發明,即可形成經予薄膜化之絕緣膜。因此在 I經予薄膜化之絕緣膜上形成其他層(例如其他之絕緣 朴藉此即可容易形成特性優越的半導體裝置結構。經由 本發明薄膜化之絕職,特料合於對該薄膜化絕緣膜表 面上的High-k材料膜之成膜。 (High-k材料) 在本發明中,可供使用的High_k材料並無特別限定,但 基於為使物理膜厚增加之觀點,較佳為使耻(比介電常數) 值為7以上,更佳為1 0以上者。 匕'Hlgh k材料,較佳為使用例如選自包括从ο〆氧 83566.doc -14- 1228774 化銘)、Zr02(二氧化锆)、Hf〇2(氧化銓)、Ta2〇5(五氧化二 短)、及ZrSiO(矽酸锆)、HfSi0(矽酸铪)等矽酸鹽;ZrA1〇(鋁 酸锆)等鋁酸鹽之群中一或兩種以上者。 (在同一容器内之處理) 以下所述同一容益内」係指經某一工序後不必使被處 理基材通過該容器壁,即可供緊接著的處理之用者。使用 由複數個各為所組合成所謂的「群集設備」結構時,構成 ▲群集$又備之互異容器間若有移動,則視為並非為本發明 所謂之「同一容器内」。 依照本發明,即可如此在r同一容器内」,在不必使被 處理基材(珍基板等)暴露於大氣下,連續地在具有同一原 理之反應室内實施複數種工序,因此例如在一反應室實施 所有工序即可減少腳印。另外即使以其他之反應室實施各 工序之情況時,由於將動作原理相同的反應室並排而佈 置,氣體管線或操作控制盤也可採用相同者,因此可期望 完美的維修、操作性。加上由於使用同一裝置,裝置間搬 進搬出污染之可能性低,且即使構成為具複數間反應室之 群集設備結構,也可將處理順序改變成各式各樣者。因此 使用此方法即可製作具有各種特性之閘絕緣膜。 經使用本發明製成之氧化膜或氧氮化膜,雖可直接當做 閘絕緣膜而使用,但如果使用本發明而形成極薄(約ι〇Α) 的氧化膜或氧氮化膜,並在其上使High_k等具有高介電常 數之物質成膜,即也可製作界面特性例如電晶體載子移動 率比以High-k物質單獨形成閘絕綾瞪 > )主_ 83566.doc -15- 1228774 絶緣膜結構(堆疊式閘結構)。 (MOS半導體結構之較適合的特性) /在經由本發明潔淨化的基材上形成之極薄且優質的 彖膜特別疋可作為M〇s半導體結構之絕緣膜(特別是 MOS半導體結構之閘絕緣膜)而使用。 、若依照本發明,則如下述可容易製造具有較適合的特性 4刪半導體結構。另外若欲評價經由本發明形成之氧氮 化膜特性’則例如形成如文獻(vlsi(超大型積體電路)裝置 《物理彳野正剛、小柳光正著丸善P 62至63)所記載的標 ^生MOS半導體結構,而評價其m〇s特性即可代替上述氧 氮化膜本身之特性評價。這是因為在如此之標準性M0S結 構構成该結構的氧氮化膜之特性會對m〇s特性造成強列 影響之緣故。 # ' (製造裝置之一態樣) 以下就本發明形成方法之較佳一態樣加以說明。 首先關於可由本發明電子裝置材料製造方法製造的半 導體裝置結構之—實例,參照圖1就具有作為絕緣膜而具 有閘%緣膜的MOS結構之半導體裝置說明如下。 清參閱圖1,圖1中元件符號1為矽基板、11為場氧化膜、 2為閘絕緣膜、13為閑極。如上述若依照本發明,則可形 成極薄且優質的間絕緣膜2。該絕緣膜2係由形成於與矽基 板1的界面且具高品質之絕緣膜,例如由厚度2 nm左右之 氧化膜或氧氮化膜構成。 在本實例,該高品質氧化膜2,較佳為由下述氧氮化矽 83566.doc -16- 1228774 膜(下稱為「Si〇N膜」)構成。該SK)N膜係在含〇2、N2及稀 有乳眼〈製程氣體存在下,對^以以為主成分之被處理基 材力以具有複數個隙縫的平面天線構件而照射微波,藉 以开y成%漿,並使用该電漿而形成於上述被處理基材表面 者。使用如此之SiON2膜時,如後述,即可容易獲得具有 相間界面特性(例如界面能級)良好且作為M0S結構時可獲 得良好閘洩漏電流特性之特徵。 在圖1所不悲樣中,則在該氧氮化矽膜表面更進一步的 形成以矽(多晶矽或非晶矽)為主成分之閘極丨3。 (製造方法之一態樣) 接著就如此之氧氮化矽膜之製造方法說明如下。-圖2係顯示為實施本發明電子裝置材料製造方法所需半 導體製造裝置30之全體構成一實例概略圖(模式俯視圖)。 如圖2所示,在該半導體製造裝置30之大致中央,配設 用以搬送晶圓w之搬送室31 (圖2),並以包圍該搬送室31周 圍之方式而配設:用以對晶圓施加各種處理之電漿處理單 兀32、33 ;用以執行各處理室間的接通/切斷操作之兩部 加載互鎖單元34、35。 在加載互鎖單元34、35旁邊分別設有用以執行各種預冷 或冷卻操作之預冷單元45、冷卻單元46。 搬送室31内部設有搬送臂37及38,其可在上述各單元 32〜36間搬送晶圓w(圖2)。 在加載互鎖單元3 4及3 5之圖中跟前側,設有裝料臂41及 42。孩等裝料臂41及42可將晶圓w在四台匣盒料之間搬入 83566.doc -17- 1228774 搬出。該四台匣盒44係架設在排設在上述加載互鎖單元 34、35之更靠跟前侧者。 另外圖2中電漿處理單元32、33係將同型的電漿處理單 元兩台並排而架設。 並且孩等電漿處理單元32及33,均可與單室型CVD處理 單凡X換,也可在電漿處理單元32及33之位置架設一台或 兩台之單室型CVD處理單元。 電漿處理若有兩台,也可採取例如以處理單元32形成 Si〇2膜後,以處理單元33使以〇2膜表面氮化之方法,另也 可以處理單元32及33並列而實施。Si〇2膜形成與膜之 表面氮化。 , (電漿處理裝置之一態樣) 圖3係可供使用於閘絕緣膜2之成膜的電漿處理單元 32(33)之垂直方向模式剖面圖。 請參閱圖3,元件符號50係例如由鋁形成之真空容哭。 在孫真空容器50上面形成比基板(例如晶圓w)大的開口部 51,並以塞住該開口部51之狀態設有例如由石英或氧化鋁 等構成之扁平的圓筒形狀天板54。在該天板54下面,真空 容器50上邵側之侧壁,例如在沿其周方向以等間距佈置的 16處位置設有氣體供給管72,俾由此氣體供給管72對於真 空容器50之電漿區域p附近,均勻供給含選自%或稀有氣 體、N2及Η:等中一種以上之製程氣體。 在天板54外側,介以具有複數個隙缝之平面天線構件例 如由銅板形成的平面天線(RLSA)60而設有波導路63。該波 83566 -18- 1228774 導路63係連接於構成高頻電源部而可產生例如2.45 GHz微 波之微波電源部61。該波導路63係組合下述各構件所構 成:下緣係連接於RLSA 60之扁平的平板狀波導管63八,一 端側係連接於該平板狀波導管63 A上面之圓筒形波導管 63B,連接於該圓筒形波導管63B上面之同軸波導變換器 63C,以及一端側係在該同軸波導變換器63C之侧面連接成 直角,另一端側係連接於微波電源部61之矩形波導管63D。 在上述圓筒形波導管63B内部,以同軸狀設置由導電性 材料構成之軸部62,其一端側係連接於RLSA 60上面之大 致中央,另一端側則連接於圓筒形波導管63B上面,藉此 使該波導管63B構成為同軸波導管。 另在真空容器50内以與天板54相對之方式而設置晶圓w 之載置台52。在該載置台52内建未圖示之溫調部,藉此該 載置台52即具有熱板功能。另外排氣管53之一端側係連接 於真空容器50底部,該排氣管53之另一端側則連接於真空 泵55。 (RLSA之一態樣) 圖4係顯示可供使用於本發明之電子裝置材料製造裝置 的RLSA 60之一實例模式俯視圖。 如該圖4所示,在該RLSA 60則將複數個隙缝6〇心6(^、… 以同心圓狀形成在表面。各隙缝6〇a為略呈方形之嘗通溝 槽,且配設成鄰接的隙缝彼此係互相正交而形成崎呈羅馬 字26個字母之「T」文字。隙缝6〇a之長度或排列間隔係因 應由微波電源部61產生的微波之波長而決定。 83566.doc -19- 1228774 (電漿處理之一態樣) 接著就使用於本發明之電漿處理裝置之一態樣說明如 下。 打開設在電漿處理單元32(圖2)内真空容器50側壁的閘 閥(未圖示)以搬送臂37及38將經在上述矽基板1表面形成 埸氧化膜11之晶圓W載置於載置台52(圖3)上。 接著關閉閘閥使内部密閉後,以真空泵55經由排氣管53 使内部氣氛排放而抽空至特定的真空度,並維持於特定壓 力。另一方面,由微波電源部61產生例如1.80 GHz(2200W) 之微波,並以波導路引導該微波介以RLSA 60及天板54而 導入於真空容器50内,藉以使高頻電漿產生於真空容器50 内頂部側之電漿區域P。 在此,微波將以矩形模態在矩形波導管63D内傳送,在 同軸波導變換器63C由矩形模態變換為圓形模態而以圓形 模態下在圓筒形同軸波導管63B傳送,更以經由圓形波導 管63 A擴展之狀態下傳送,然後由RLSA 60之隙缝60a放 射,透過天板54而導入於真空容器50。此時由於使用微 波,可產生高密度•低電子程度之電漿,加上由於使微波 由RLSA60之眾多隙缝60a放射,因而可使該電漿成為均勻 分布者。 欲形成氧化膜時則需先於導入微波而使晶圓W擺放於圖 3之反應室50,邊以載置台52加熱邊由氣體供給管72將氧 化膜形成用製程氣體之氪或氬等稀有氣體,與氧氣體,分 別以例如2000 seem、200 seem之流量而導入。使反應室壓 83566.doc -20- I228774 f保持於133 Pa而導入2 W/cm2微波,藉以產生電漿,使 ,自由基在muw表面進行反應,實施氮化處理時製程 軋體則使用含稀有氣體與氮之氣體。 以下以實施例更具體的說明本發明。 复屬例 膏施例1 依以下方法形成用以進行各種評估之裝置(N型刪電容 器)。 U):基板(圖9) 如圖9所不,基板係使用p型矽基板、比電阻8至、 面方位(1GG)者。在梦基板表面則以熱氧化法形成_ a(埃) 之犧牲氧化膜。 (2) :閘氧化前洗淨 么以、’且口 APM(氨、過氧化氫水、純水之混合液),(鹽 酸、過虱化虱水、純水之混合液),以及卿(氟酸與純水 巧合液)之心洗淨法’除去犧牲氧化膜與污染要素(金 屬或有機物、顆粒)。 (3) :氧化前電漿處理(圖1〇) 、二上述(2)之處理後,在基板上施加rlsa電漿處理(圖 )處里侪件如下。經將晶圓搬入於圖2之Μ及圖3所示真 空(背壓1X1G—4 Pa以下)反應處理室後,保持基板溫度400 C、稀有氣體(例如Ar氣體)1〇〇〇 sccm,壓力7〜至i33 pa(5〇 至1 T㈣)°在其氣氛中介以具有複數個隙缝的平面天 線構件(RLSA)而照射2至3 w八m2微波,使稀有氣體產生 而在基板表-面上施加電漿處理(圖10)。另外視情況,也有 83566.doc -21 - 1228774 使氫5至30 sccm含在稀有氣體而施加使用氫電漿的氧化前 處理之情形。 (4) :電漿氧化處理(圖 在經施加上述(3)之處理的珍基板上,以下述方法形成氧 化膜。對於經施加(3)之處理的石夕基板仍在未暴露於大氣之 狀態下實施如下述工序(例如以相同的反應室32實施處 理:或使用真空搬送系統,防止對於大氣的暴露而以其他 反應室33實施處理等)’即可在仍使經由(3)之處理所得有 機物3染除去或自然氧化膜除去效果保持於最佳狀態 下訑加氧化處理。將稀有氣體與氧分別以1〇〇〇至2〇〇〇 seem及50至500 sccm流通於加熱成4〇(Γ(:之矽基板上,並將 &力保持於 13 Pa至 133 Pa (1〇〇 mT〇rj^ 1〇〇〇 mT〇rr)。對其 氣氛中介以具有複數個隙缝的平面天線構件(rlsa)而照 射2至3 W/cm <微波,藉以形成含氧及稀有氣體之電 漿,並使用該電漿使叫膜成膜於3之基板上(圖u)。另外 改變包含處理時間在内的處理條件而控制膜厚。 (5) :電漿氮化處理(圖j工) 在經施加上述(4)之處理的氧化膜上,以下述方法實施氮 化:料經施加(4)之處理的氧化膜上仍在未暴露於大氣之 狀態下實施如下述工序(例如以相同的反應室”實施處 理:或使用真空搬送系統,防止對於大氣的暴露而以其他 反應罜33實施處理等),即可在仍抑制著經由(4)之處理所 得對於氧化膜上部的有機物污染或自然氧化膜增加之狀 態下,施加氮化處理。將稀有氣體與氮分別以5〇〇至2〇〇〇 83566.doc -22- 1228774 seem及4至500 seem流通於加熱成400°C之珍基板上,並將 壓力保持於3 Pa至133 Pa(20 mTorr至1〇〇〇 mTorr)。對其氣 氛中介以具有複數個隙缝的平面天線構件(RLSA)而照射 3 W/cm2之微波,藉以形成含氮及稀有氣體之電漿,並使 用該電漿使氧氮化矽膜(SiON膜)成膜於基板上(圖11)。 (6):使用氫電漿之薄膜化與Vfb移位之恢復(圖11) 在經施加上述(5)之處理的氧氮化膜上,以下述方法實施 使用鼠笔浆的退火處理。對於經施加(5)之處理的氧氮化膜 上仍在未暴露於大氣之狀態下實施如下述工序(例如以相 同的反應室3 2實施處理、或使用真空搬送系統,防止對於 大氣的暴露而以其他反應室33實施處理等),即可在仍抑制 著經由(5)之處理所得對於氧化膜上部的有機物污染或自 然氧化膜增加之狀態下,施加氫電漿退火處理。將稀有氣 m與氣为別以500至2000 seem及4至500 seem流通於加熱 成400°C之矽基板上,並將壓力保持於3 Pa至133 Pa(20 mTorr至1000 mTorr)。對其氣氛中介以具有複數個隙縫的 平面天線構件(RLSA)而照射2至3 W/cm2之微波,藉以形 成含氫及稀有氣體之電漿,並使用該電漿在氧氮化膜上實 施氫電漿退火處理(圖11)。在圖UiWMS(二次離子質譜儀) 分析試樣係在本工序停止處理而加以分析者。 (7 )·閘極用多晶石夕之成膜 在經在上述(3)至(6)形成之氧氮化膜上作為間極而以 CVD法使多晶矽成膜。以63〇加熱經成膜氧氮化膜之矽 基板’以33 Pa壓力下將矽甲烷氣體MO sccm導入於基板上 83566.doc -23 · 1228774 並保持30分鐘,藉以使膜厚3000 A之電極用多晶矽成膜於 Si02膜上。 (8) :對於多晶矽之P(磷)摻雜 將經在上述(7)製得之矽基板加熱成875°C,以常壓下將 POCI3氣體與氧及氮分別以 350 seem、200 seem、20000 seem 導入於基板上並保特24分鐘,藉以將磷摻雜於多晶矽中。 (9) :圖案形成、姓刻閘極 在經以上述(8)製得之矽基板上以微影法施加圖案形 成,然後使矽基板浸潰於HF : HN〇3 : H20 = 1 : 60 : 60比 率之藥液中3分鐘,以溶解未經圖案形成部分之多晶矽而 製作MOS電容器。 實施例2 對於經由實施例1製得的MOS電容器之測定,係以如下 述方法實施。評價閘極面積為10000 //m2的電容器之C-V(電容•電塵)、I - V(電流•電壓)特性。C - V特性係以掃 頻100 KHz、將閘電壓自+ 1 V起至-3V左右加以掃描 (sweep),評價在各電壓的電容而求得。由C - V特性求出電 膜厚與Vfb(平帶電壓)。另外I - V特性係將電壓自0V起至-5 V左右加以掃描,評價在各電壓流通之電流值(洩漏電流) 而求得。由I - V特性計算在由C - V測定求得之Vfb扣除-〇。4 V所得閘極電壓時之洩漏電流值。 圖5係比較實施前電漿處理之情形與未實施之情形的氧 化膜戌漏特性而得者。為只展示前電漿處理之效果5對於 在此所使用之氧化膜並未施加氮化及後氫處理。在橫座標 83566.doc -24 = 1228774 (1) :基板 基板使用P型或N型之矽基板,比電阻為1至3〇Ω cm、且 面方位(100)者。以下則就使用p型矽基板的顺〇8電晶體之 製造方法加以陳述。 在矽基板上按照目的而施加STI(淺溝隔離)或L〇c〇s(矽 局部氧化)等7C件隔離工序或通道佈植,在供形成閘氧化膜 之石夕基板表面則形成犧牲氧化膜(圖9)。 (2) :閘氧化膜(閘絕緣膜)成膜前之洗淨 一般以組合APM(氨、過氧化氫水、純水之混合液)與 HPM(鹽酸、過氫化氫水、純水之混合液)的rca洗淨法除 去犧牲氧化膜與污染要素(金屬或有機物、顆粒)。必要時 也有使用SPM(硫酸與過氧化氬水之混合液)、臭氧水、 FPM(氟酸、過氧化氫水、純水之混合液)、鹽酸水(鹽酸與 純水之混合液)、有機鹼等情形。 (3) ^基底氧化前電漿處理 經上述(2)之處理後,則在基板上施加壯从電漿處理以 作為形成基底氧化膜之前工序。其處理條件可依如下述。 經將晶圓搬入真空(背壓lxl〇_4pa以下)反應處理室^ 後,保持基板溫度40(rc、稀有氣體(例如Ar氣體)1〇〇〇 [力7 Pa至133 Pa(5〇瓜化打至1〇〇〇m T〇rr)。在其氣 氛中’i以具有複數個隙缝的平面天線構件(Ms A)而照射2 至3 W/cm2微波,使稀有氣體產生而在基板表面上施加電 漿處理。另外視情況’也有使氫5至3〇 含在混合氣體 而她加使用氫電漿的氧化前處理之情形(圖1〇)。 83566.doc -27- 1228774 (4):基底氧化膜之形成 在經施加上述(3)之處理的石夕基板上,以下述方法形成氧 化膜。對於經施加(3)之處理的矽基板仍在未暴露於大氣之 狀悲下實施如下述工序(例如以相同的反應室32實施處 理,即可在仍使經由(3)之處理所得有機物污染除去或自然 氧化膜除去效果保持於最佳狀態下,施加氧化處理。將稀 有氣體與氧分別以1000至2000 3(^111及5〇至5〇〇 sccm流通 於加熱成400°C之珍基板上,並將壓力保持於13 pa至ι33 Pa (1 00 mTorr至1 000 mT〇rr)。對其氣氛中介以具有複數個 隙缝的平面天線構件(RLSA)而照射2至3W/ cm2之微波, 藉以形成含氧及稀有氣體之電漿,並使用該電漿使以〇2膜 成膜於3之基板上。另外改變包含處理時間在内的處理條 件藉此也可控制膜厚(圖11)。 (5P電漿氮化處理 在經施加上述(4)之處理的氧化膜上,以下述方法實施氮 化。對於經施加(4)之處理的氧化膜上仍在未暴露於大氣之 狀態下實施如下述工序(例如以相同的反應室Μ實施處 理、或使用真空搬送系統,防止對於大氣的暴露而以其他 反應罜33實施處理等)’即可在仍抑制著經由(4)之處理所 得對於氧化膜上部的有機物污染或自然氧化膜增加之狀 態下,施加氮化處理。將稀有氣體與氧分別以5〇〇至2〇〇〇 seem及4至500 seem流通於加熱成4〇〇t:之矽基板上,並將 壓力保持於 3 Pa 至 133 Pa(20 mT〇ri^ 1000 mT〇rr)。對其氣 氛中介以具_有複數個隙缝的平面天線構件(R L s A)而照射 83566.doc -28- 1228774 2〜3 W/ cm2之微波,藉以形成含氮及稀有氣體之電漿,並 使用該電漿使氧氮化矽膜(si〇N膜)成膜於基板上(圖u)。 (6) :使用氫電漿之薄膜化與vfb移位之恢復 在經施加上述(5)之處理的氧氮化膜上,以下述方法實施 使用氫電漿的退火處理。對於經施加(5)之處理的氧氮化膜 上仍在未暴露於大氣之狀態下實施如下述工序(例如以相 同的反應室32實施處理、或使用真空搬送系統,防止對於 大氣的暴露而以其他反應室33實施處理等),即可在仍抑制 著經由(5)之處理所得對於氧化膜上部的有機物污染或自 然氧化膜增加之狀態下,施加氫電漿退火處理。將稀有氣 體與氫分別以500至2000 sccm及4至500 sccm流通於加熱 成400°C之矽基板上,並將壓力保持於3 ?&至133 pa(2〇 mToiT至1000 mTorr)。對其氣氛中介以具有複數個隙缝的 平面天線構件(RLSA)而照射2至3W/cm2之微波,藉以形 成含氫及稀有氣體之電漿,並使用該電漿在氧氮化膜上實 施氫電漿退火處理(圖11)。 (7) · High-k閘絕緣膜之形成 在經由上述(6)形成之基底氧氮化膜上使High-k物質成 膜。High-k閘絕緣膜形成方法大致可區分為使用cvd法之 工序與使用PVD法(物理氣相沉積法)之工序。在此則主要 就依CVD法的閘絕緣膜之形成加以陳述。依cvd法的閑絕 緣膜之形成,係將原料氣體(例如HTB(第三乙氧基給): Hf(OC2H5)4與SiHU)供給於經以2〇〇°C至l〇〇〇t:範圍内加熱 之上述矽基板上,使因熱而形成之反應晶種(例由基與 83566.doc -29- 1228774 si自由基、氧自由基)在膜表面進行反應,藉以進行成膜(例 如HfSiO)。反應晶種也有由電漿生成之情形。一般閘絕緣 膜之物理膜厚係使用i 11〇1至10 nm之膜厚(圖12)。 (8):閘極用多晶石夕之成膜 在經以上述(7)形成之High-k閘絕緣膜(包含基底閘極氧 化胰)上以CVD法成膜作為^!〇8電晶體閘極之多晶矽(包含 非卵矽)。使經成膜閘絕緣膜之矽基板以5〇〇它至65〇它範圍 内加熱,並在基板上以10至1〇〇1^壓力下導入含矽氣體(矽 甲烷、乙婦烷等),藉以在閘絕緣膜上形成膜厚5〇 ^㈤至5〇〇 nm<電極用多晶矽。閘極也有取代多晶矽而使用矽鍺或金 屬(W、RU(舒)、TiN(氮化鈦)、Ta、心等)之情形(圖⑶。 然後實施閘極之圖案形成(patterning)、選擇性蝕刻、形 成MOS電客器(圖14)、離子植入(佈植)而形成源極、汲極(圖 1 5)之後以退火處理使摻質(對通道、源極、沒極植入之 4 (P)、破(As)、侧(B)等)活化。接著經由後續工序的層間 絶緣膜之成膜、圖案形成、選擇性蝕刻、組合金屬成膜之 配線工序’即可製得本態樣之MOS電晶體(圖16)。最後對 此電晶體上邵以各種圖案施加配線工序,形成電路即可完 成邏輯裝置。 此外’本態樣係作為絕緣膜而形成矽酸給膜),惟 也可形成由除其以外的組成構成之絕緣膜。閘絕緣膜可使 用以在習用之低介電常數的、Si〇N,或介電常數較高 的SiN或選自稱為High吨物質之高介電常數的Al2〇3、 Zl*〇2、HfOr Ta2〇5等矽酸鹽或ZrAlO等鋁酸鹽之群中一或 83566.doc -30- 1228774 兩種以上者。 又,本樣態之目的雖在於形成基底之閘氧氮化膜,但不 進行High-k物質之成模,而直接將基底閘氧氮化膜用作為 閘絕緣膜,亦可控制基底氧化膜之膜厚。 另外也可將未經由氮化處理之氧化膜使用於基底,或將 氧化膜直接當做閘絕緣膜而使用。 再者必要時也可省略氧化前處理或後氫處理,或改變處 理順序。 以下列舉按照目的之處理順序。 1 :閘絕緣膜之形成 氧化前處理氧化處理—多晶矽成膜 2 :閘極氧氮化膜之形成- 1 氧化前處理—氧化處理—氮化處理後氫處理—多晶石夕 成膜 3 :閘極氧氮化膜之形成-2 氧化别處理—氮化處理—氧化處理—後氫處理—多晶碎 成膜 4 : High-k基底氧化膜之形成 氧化前處理—氧化處理—藉後氫處理之薄膜化—High_k成 膜θ多晶矽成膜 5 : High-k基底氮化膜之形成 氮化前處理(與氧化前處理相同)—氮化處理—後氫處理— High-k成膜—多晶矽成膜 上述所陳述為本發明態樣之一例子,其他也有各式各樣 83566.doc -31 - 1228774 之處理方法可使用同一裝置結構。 练上所述,若使用本發明,則可在不致使矽基板暴露於 大風下’連績地在具有同一原理之反應室内實施複數種工 序例如在一反應罜實施包括洗淨、氧化、氮化、蝕刻之 ?夂數種工序即可減少腳印。另外即使以其他之反應室實施 各工序之^況時,由^將動作原理相同的反應室並排而体 置’氣體管線或操作控制盤也可採用相同者,因此可期望 疋美的維‘、知作性。加上由於使用同一裝置,裝置間搬 進搬出污染之可能性低,且即使構成為具複數間反應室之 群集叹備結構’也可將處理順序改變成各式各樣者。因此 使用此方法即可製作具有各種特性之閘絕緣膜。-產業上之利用性 如上述若依照本發明,則可有效率地(例如以—反應室實 施包括洗淨、氧化、氮化、蝕刻的複數種工序所帶來之小 的腳印’或以同—動作原理之反應室實施各種工序所帶來 之操作性簡化、裝置間交叉污染之抑制等)製造各種特性 (例如極薄膜厚之控制或高潔淨度等)優越的絕緣膜。 【圖式簡單說明】 1係顯示可依本發明而形成的MOS結構之—實例模式 面圖。 圖2係顯示可使用於本發明絕緣膜之形成方法的半導寵 製造裝置之一實例局部模式剖面圖。 圖3係顯示可使用於本發明絕緣膜之形成方法的平面夫 泉(RLSA ’ Slot Plane Αιη_&或也有稱為s以之情形)電缚 83566.doc -32- 1228774 式剖面圖。 圖14係顯示MOS電容器的形成之一實例模式剖面圖。 圖15係顯示依離子植入(佈植)法的源極、汲極形成之一 實例模式剖面圖。 圖16係顯示經由本發明所製得MOS電晶體結構之一實 例模式剖面圖。 【圖式代表符號說明】 1 矽基板 2 閘絕緣膜 11 場氧化膜 13 閘極 30 半導體製造裝置 31 搬送室 32、33 電漿處理單元 34、35 加載互鎖單元 37、38 搬送臂 41、42 裝料臂 43 匣盒台 44 匣盒 45 預冷單元 46 冷卻單元 50 真空容器 51 開口部 52、87 裝置台 83566.doc -34- 1228774 53 排氣管 54 天板 55 真空泵 60 平面天線(RLSA) 60a 隙缝 61 微波電源部 62 軸部 63 波導部 63A 圓形波導管 63B 圓筒形波導管 63C 同軸波導管 63D 矩形波導管 72 氣體供給管 P 電浆區域 W 晶圓 83566.doc - 35 -

Claims (1)

1228774 Γ s〜〜 第092107316號專利申請案 丨名::誇ji J 中文申請專利範圍替換本(93年7月)Lj 拾、申請專利範固: :1 j
一種基材A面之絕緣膜之 裝置用基材上形成絕緣膜 以控制絕緣膜特性之兩種 下進行; 形成方法,其特徵為··在電子 之工序中,包含在該工序而用 、上工序,係在同一動作原理 异T上述在同一動作原 丄斤局選目包括」 述基材表面及/或絕緣膜之洗淨 、, 、虱化、氮化及蝕刻4 群中兩種以上之工序。 2.如申請專利範園第工項之絕緣膜之形成方法,其中上述電 子裝置用基材為半導體材料。 3·如申請專利範圍第工項之絕緣膜之形成方法,其中上述電 子裝置用|材為以單晶碎為主成分之基板。 4·如申請專利範圍第4之絕緣膜之形成方法,其中上述動 作原理為包含根據至少含稀有氣體的處理氣體之電漿。 5· ^申請專利範圍第4項之絕緣膜之形成方法,其中上述電 漿為根據介以平面天線構件(RLSA)的微波照射之電聚: 6.如申請專利範圍第1項之絕緣膜之形成方法,其中上述工 序包含洗淨工序’且該洗淨工序包含根據至少含稀有氣 體的處理氣體之電漿的處理。 7·如申請專利範圍第6項之絕緣膜之形成方法,其中上述洗 ^序匕g根據至少含稀有氣體與氫氣體的處理氣體之 電漿處理。 8·如申請專利範園第丨項之絕緣膜之形成方法,其中上述工 83566-930716.doc 1228774 序包含氧化工序,且該氧化工序包含根據至少含稀有氣 體與氧的處理氣體之電漿處理。 9. 10. 11. 12. 13. 14. 如申請專利範圍第1項之絕緣膜之形成方法,其中上述工 序包含氮化工序,且該氮化工序包含根據至少含稀有氣 體與氮的處理氣體之電漿處理。 如申請專利範圍第1項之絕緣膜之形成方法,其中上述工 序包含蝕刻工序,且該蝕刻工序包含根據至少含稀有氣 體與氫的製程氣體之電漿處理。 如申請專利範圍第1項之絕緣膜之形成方法,其中選自包 括上述基材表面及/或絕緣膜之洗淨、氧化、氮化及蚀 刻之群中兩種以上之工序,係在同一容器内進行。 如申請專利範圍第1項之絕緣膜之形成方法,其中經由上 述工序形成之絕緣膜,係當做CVD(化學氣相沉積)絕緣膜 之基底絕緣膜而使用。 如申請專利範圍第1項之絕緣膜之形成方法,其中上述絕 緣膜為含High-k(高介電常數)材料。 如申凊專利範圍第1項之絕緣膜之形成方法,其中選自包 括上述基材表面及/或絕緣膜之洗淨、氧化、氮化及蚀 刻之群中兩種以上之工序,係在避免該基材表面及/或 絕緣膜對於大氣的暴露(大氣開放)下而進行。 83566-930716.doc -2 - 1228774~ Θ年7月/“ 修正i 補充 第092107316號專利申請案 中文圖式替換頁(93年7月) 犧牲氧化膜 元 •件 隔 P十 ( ρκ ρτ P十 p十’ 通道佈植 ) ' - Ρ型基板(100), 圖9 • * — / spX電&理
83566.doc -«10 ·
TW092107316A 2002-03-29 2003-03-31 Forming method of insulation film TWI228774B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002097906A JP4001498B2 (ja) 2002-03-29 2002-03-29 絶縁膜の形成方法及び絶縁膜の形成システム

Publications (2)

Publication Number Publication Date
TW200401368A TW200401368A (en) 2004-01-16
TWI228774B true TWI228774B (en) 2005-03-01

Family

ID=28671932

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092107316A TWI228774B (en) 2002-03-29 2003-03-31 Forming method of insulation film

Country Status (6)

Country Link
US (3) US7446052B2 (zh)
JP (1) JP4001498B2 (zh)
KR (1) KR100782954B1 (zh)
AU (1) AU2003221023A1 (zh)
TW (1) TWI228774B (zh)
WO (1) WO2003083925A1 (zh)

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
JP2005277318A (ja) * 2004-03-26 2005-10-06 Semiconductor Leading Edge Technologies Inc 高誘電体薄膜を備えた半導体装置及びその製造方法
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US20050278335A1 (en) * 2004-05-21 2005-12-15 Bea Systems, Inc. Service oriented architecture with alerts
US7915179B2 (en) 2004-11-04 2011-03-29 Tokyo Electron Limited Insulating film forming method and substrate processing method
JP2006186245A (ja) * 2004-12-28 2006-07-13 Tokyo Electron Ltd トンネル酸化膜の窒化処理方法、不揮発性メモリ素子の製造方法および不揮発性メモリ素子、ならびにコンピュータプログラムおよび記録媒体
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
JP4509839B2 (ja) * 2005-03-29 2010-07-21 東京エレクトロン株式会社 基板処理方法
US20090239352A1 (en) * 2005-03-31 2009-09-24 Tokyo Electron Limited Method for producing silicon oxide film, control program thereof, recording medium and plasma processing apparatus
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US7642152B2 (en) * 2005-09-07 2010-01-05 United Microelectronics Corp. Method of fabricating spacers and cleaning method of post-etching and semiconductor device
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
TW200805501A (en) * 2006-05-22 2008-01-16 Tokyo Electron Ltd Method and apparatus for forming silicon oxide film
JP2008028252A (ja) * 2006-07-24 2008-02-07 Toshiba Matsushita Display Technology Co Ltd 半導体層の処理方法、半導体層の処理装置、薄膜トランジスタの製造方法及び薄膜トランジスタの製造装置
WO2008041601A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil d'oxydation par plasma et support de stockage
JP4361078B2 (ja) * 2006-11-20 2009-11-11 東京エレクトロン株式会社 絶縁膜の形成方法
CN101548190A (zh) * 2006-12-18 2009-09-30 应用材料股份有限公司 低能量、高剂量砷、磷与硼注入晶片的安全处理
EP2058844A1 (en) 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102460638A (zh) * 2009-06-26 2012-05-16 东京毅力科创株式会社 通过无定形碳(少量添加硅)的含氧掺杂改善氟碳化合物(CFx)膜的粘附性的技术
US8071451B2 (en) * 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP4523994B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP4523995B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP5615207B2 (ja) * 2011-03-03 2014-10-29 株式会社東芝 半導体装置の製造方法
JP2011176320A (ja) * 2011-03-07 2011-09-08 Hitachi Kokusai Electric Inc 基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101907972B1 (ko) * 2011-10-31 2018-10-17 주식회사 원익아이피에스 기판처리장치 및 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6030455B2 (ja) 2013-01-16 2016-11-24 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN106653675B (zh) * 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5767009A (en) * 1980-10-02 1982-04-23 Semiconductor Energy Lab Co Ltd Formation of film
JPS63170927A (ja) * 1987-01-09 1988-07-14 Nippon Steel Corp シリコン酸化皮膜の形成方法およびその装置
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
JP2925535B2 (ja) * 1997-05-22 1999-07-28 キヤノン株式会社 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
US6171978B1 (en) * 1999-05-27 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of manufacturing capacitor dielectric
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
JP3817417B2 (ja) * 1999-09-29 2006-09-06 株式会社東芝 表面処理方法
US6689284B1 (en) * 1999-09-29 2004-02-10 Kabushiki Kaisha Toshiba Surface treating method
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100368311B1 (ko) * 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
US6632729B1 (en) * 2002-06-07 2003-10-14 Advanced Micro Devices, Inc. Laser thermal annealing of high-k gate oxide layers

Also Published As

Publication number Publication date
TW200401368A (en) 2004-01-16
KR20040086384A (ko) 2004-10-08
US7446052B2 (en) 2008-11-04
JP4001498B2 (ja) 2007-10-31
KR100782954B1 (ko) 2007-12-07
US20050161434A1 (en) 2005-07-28
JP2003297822A (ja) 2003-10-17
US20080274370A1 (en) 2008-11-06
US20100096707A1 (en) 2010-04-22
AU2003221023A1 (en) 2003-10-13
US7662236B2 (en) 2010-02-16
WO2003083925A1 (fr) 2003-10-09

Similar Documents

Publication Publication Date Title
TWI228774B (en) Forming method of insulation film
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
CA2433565C (en) Semiconductor device and fabrication method therof
WO2002058130A1 (fr) Procede de production
US7037816B2 (en) System and method for integration of HfO2 and RTCVD poly-silicon
TW200409238A (en) Method for fabricating a nitrided silicon-oxide gate dielectric
JP2007027777A (ja) 電子デバイス材料の製造方法
CN101194345B (zh) 等离子体氮化处理方法和处理装置、半导体装置制造方法
TW200524043A (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
JP4083000B2 (ja) 絶縁膜の形成方法
JP2004079931A (ja) 半導体装置の製造方法
CN104681440A (zh) 一种半导体器件及其制备方法
JP4562751B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法
CN104851802A (zh) 一种半导体器件及其制作方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees