KR20040086384A - 절연막 형성 방법 - Google Patents

절연막 형성 방법 Download PDF

Info

Publication number
KR20040086384A
KR20040086384A KR10-2004-7012721A KR20047012721A KR20040086384A KR 20040086384 A KR20040086384 A KR 20040086384A KR 20047012721 A KR20047012721 A KR 20047012721A KR 20040086384 A KR20040086384 A KR 20040086384A
Authority
KR
South Korea
Prior art keywords
insulating film
film
plasma
substrate
gas
Prior art date
Application number
KR10-2004-7012721A
Other languages
English (en)
Other versions
KR100782954B1 (ko
Inventor
스가와라다쿠야
다다요시히데
나카무라겐지
오자키시게노리
나카니시도시오
사사키마사루
마츠야마세이지
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040086384A publication Critical patent/KR20040086384A/ko
Application granted granted Critical
Publication of KR100782954B1 publication Critical patent/KR100782954B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12806Refractory [Group IVB, VB, or VIB] metal-base component

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

전자 디바이스용 기재 상에 절연막을 형성하는 공정에 있어서, 상기 공정에 포함되는 절연막 특성을 제어하는 2 이상의 공정을 동일한 동작 원리하에서 행하여, 기재 표면의 절연막을 형성한다. 대기에의 폭로를 피하여, 세정, 산화, 질화, 박막화 등의 처리를 실시함으로써, 세정도가 높은 절연막의 형성이 가능해진다. 또한, 동일한 동작 원리를 이용하여 절연막의 형성에 관한 여러 가지 공정을 실행함으로써, 장치 형체의 간략화를 실현하여, 특성이 우수한 절연막을 효율적으로 형성할수 있다.

Description

절연막 형성 방법{METHOD FOR FORMING INSULATION FILM}
본 발명은 반도체 내지 반도체 장치, 액정 디바이스 등의 전자 디바이스 재료의 제조에 일반적으로 널리 적용할 수 있는데, 여기서는 설명의 편의를 위해, 반도체 장치(devices)의 배경 기술을 예로 들어 설명하기로 한다.
실리콘을 비롯한 반도체 내지 전자 디바이스 재료용 기재에는 산화막을 비롯한 절연막 형성, CVD 등에 의한 성막, 에칭 등의 여러 가지 처리가 실시된다.
최근의 반도체 디바이스의 고성능화는 트랜지스터를 비롯한 상기 디바이스의 미세화 기술 상에 발전해 왔다고 해도 과언이 아니다. 현재도 한층 더 고성능화를목표로 하여 트랜지스터의 미세화 기술의 개선이 이루어지고 있다. 최근의 반도체 장치의 미세화 및 고성능화의 요청에 따라(예컨대, 누설 전류의 점에서), 보다 고성능 절연막에 대한 필요성이 현저히 높아지고 있다. 이것은, 종래의 비교적 집적도가 낮은 디바이스에 있어서는 사실상 문제가 되지 않을 정도의 누설 전류라도 최근의 미세화 ·고집적화 및/또는 고성능화된 디바이스에 있어서는, 심각한 문제를 일으킬 가능성이 있기 때문이다. 특히, 최근 시작된, 소위 유비쿼터스(ubiquitous) 사회(언제라도 어디서나 네트워크에 연결되는 전자 디바이스를 매체로 한 정보화 사회)에 있어서의 휴대형 전자기기의 발달에는 저소비 전력 디바이스가 필수적이기 때문에, 이 누설 전류의 저감이 매우 중요한 과제가 된다.
전형적으로는, 예컨대 차세대 MOS 트랜지스터를 개발하는 데에 있어서, 전술한 바와 같은 미세화 기술이 진행됨에 따라서 게이트 절연막의 박막화가 한계에 다다르고 있어, 극복하여야 할 큰 과제가 대두되고 있다. 즉, 공정 기술로서는 현재 게이트 절연막으로서 이용되고 있는 실리콘 산화막(SiO2)을 극한(1∼2 원자층 레벨)까지 박막화하는 것이 가능하지만, 2 nm 이하의 막 두께까지 박막화한 경우, 양자 효과에 의한 다이렉트 터널에 의해 누설 전류의 지수 함수적 증가가 생겨, 소비 전력이 증대해 버린다는 문제가 있다.
현재, IT(정보 기술) 시장은 데스크탑형 퍼스널 컴퓨터나 가정 전화 등으로 대표되는 고정식 전자 디바이스(콘센트로부터 전력을 공급받는 디바이스)에서, 인터넷 등에 언제 어디서나 액세스할 수 있는 「유비쿼터스 ·네트워크 사회」로의변모를 이룰려고 하고 있다. 따라서, 극히 가까운 미래에, 휴대 전화나 자동차 네비케이션 시스템 등의 휴대 단말이 주류가 된다고 생각된다. 이러한 휴대 단말은 그 자체가 고성능 디바이스일 것이 요구되는데, 이와 동시에 상기 고정식 디바이스에서는 그다지 요구되지 않는 소형 및 경량 특성과 함께, 장시간 사용에 견딜 수 있는 기능을 갖출 것이 전제가 된다. 따라서, 휴대 단말에 있어서는, 이들의 고성능화를 도모하면서, 또한 소비 전력의 저감화가 매우 중요한 과제로 되고 있다.
전형적으로는, 예컨대 차세대 MOS 트랜지스터를 개발하는 데에 있어서, 고성능의 실리콘 LSI의 미세화를 추구해 나가면 누설 전류가 증대되어, 소비 전력이 늘어난다는 문제가 생기고 있다. 그래서 성능을 추구하면서 소비 전력을 적게 하기 위해서는, MOS 트랜지스터의 게이트 누설 전류를 증가시키는 일없이 트랜지스터의 특성을 향상시킬 필요가 있다.
이러한 미세화 및 특성의 향상을 양립시키기 위해서는, 양질이며 또한 얇은 (예컨대, 막 두께가 15 A; 옹그스트롱 이하 정도) 절연막 형성이 불가결하다.
그러나, 양질의 얇은 절연막의 형성은 매우 곤란하다. 예컨대, 종래의 열산화법 또는 CVD(화학 기상 증착법)로 이러한 절연막을 성막한 경우에는 막질 또는 막 두께 중 어느 한 쪽의 특성이 불충분했다.
본 발명은 여러 가지 특성(예컨대, 극박막 두께의 제어 또는 높은 청정도 등)이 우수한 절연막을 효율적으로〔예컨대, 하나의 반응실에서 여러 가지 공정을 실행함에 따른 작은 풋프린트나, 동일한 동작 원리의 반응실에서 여러 가지 공정을 실행함에 따른 조작성의 간략화, 장치 간의 상호 오염(cross-contamination)의 억제 등〕 제조하는 방법에 관한 것이다. 본 발명의 전자 디바이스 재료의 제조 방법은, 예컨대 반도체 내지 반도체 디바이스(예컨대, 특성이 우수한 게이트 절연막을 갖는 MOS형 반도체 구조를 갖는 것)용의 재료를 형성하기 위해서 적합하게 사용될 수 있다.
도 1은 본 발명에 의해 형성할 수 있는 MOS 구조의 일례를 도시하는 모식 단면도이다.
도 2는 본 발명의 절연막의 형성 방법에 사용할 수 있는 반도체 제조 장치의 일례를 도시하는 부분 모식 단면도이다.
도 3은 본 발명의 절연막의 형성 방법에 사용할 수 있는 평면 안테나(RLSA; Slot Plane Antenna 내지 SPA라 불리는 경우도 있음) 플라즈마 처리 유닛의 일례를 도시하는 모식적인 수직 단면도이다.
도 4는 본 발명의 전자 디바이스 재료의 제조 장치에 사용할 수 있는 RLSA의 일례를 도시하는 모식적인 평면도이다.
도 5는 산화전 플라즈마 처리를 실시한 경우와 산화전 플라즈마 처리를 실시하지 않은 경우의 산화막의 누설 특성을 나타내는 그래프이다. 횡축은 전기적 막 두께, 종축은 게이트 전압 Vfb-0.4V에 있어서의 게이트 산화막의 누설 전류치이다.
도 6은 같은 막의 플랫 밴드 특성을 도시한다. 횡축은 전기적 막 두께, 종축은 플랫밴드 전압이다.
도 7a는 본 발명에 있어서의 복수 공정(multi-process)을 이용한 게이트 산질화막의 전기적 막 두께의 시간 경과에 따른 변화(각 공정에 있어서의 전기적 막 두께의 변화)를 도시한다. 횡축은 처리 시각, 종축은 전기적 막 두께이다.
도 7b는 도 6과 같은 식의 막의 플랫 밴드 전압의 시간 경과에 따른 변화(각 공정에 있어서의 플랫 밴드 전압의 변화)를 도시한다. 횡축은 처리 시각, 종축은 플랫 밴드 전압이다.
도 8은 도 6과 같은 식의 막에 있어서의 막 중 산소 농도의 SIMS 분석 결과를 도시하는 도면으로서, 횡축은 분석에 있어서의 에칭 시간, 종축은 산소 신호 강도를 나타낸다.
도 9는 게이트 산화막이나 게이트 절연막이 성막되는 실리콘 기판 표면의 일례를 도시하는 모식 단면도이다.
도 10은 기판 표면 상에의 플라즈마 처리의 일례를 도시하는 모식 단면도이다.
도 11은 플라즈마를 이용하는 기판 상에의 SiO2막의 성막 및 질화 처리, 수소 플라즈마 처리의 일례를 도시하는 모식 단면도이다.
도 12는 Hi-k 재료의 성막의 일례를 도시하는 모식 단면도이다.
도 13은 Hi-k 재료막 상에의 게이트 전극의 형성의 일례를 도시하는 모식 단면도이다.
도 14는 MOS 커패시터의 형성의 일례를 도시하는 모식 단면도이다.
도 15는 이온 주입(implantation)에 의한 소스, 드레인 형성의 일례를 도시하는 모식 단면도이다.
도 16은 본 발명에 의해 얻어지는 MOS 트랜지스터 구조의 일례를 도시하는모식 단면도이다.
본 발명의 목적은 전술한 종래 기술의 결점을 해소한 전자 디바이스용 기재상의 얇은 절연막의 형성 방법을 제공하는 데에 있다.
본 발명의 다른 목적은 그 후의 처리(CVD 등에 의한 성막, 에칭 등)를 적합하게 실행할 수 있는, 막질 또는 막 두께의 어느 것이나 우수한 절연막을 부여할 수 있는, 전자 디바이스용 기재 표면의 얇은 절연막 형성 방법을 제공하는 데에 있다.
본 발명의 또 다른 목적은, 동일한 동작 원리를 이용하여 상기 절연막의 형성에 관한 여러 가지 공정을 실행함으로써, 장치 형체의 간략화를 실현하여 특성이 우수한 절연막을 효율적으로 형성하는 데에 있다.
본 발명자는 예의 연구한 결과, 종래와 같은 하나의 장치로 하나의 공정을 실행할 뿐만 아니라, 하나의 장치로 여러 가지 공정을 행할 수 있는 방법을 이용하여 절연막을 형성하는 것이 상기 목적 달성을 위해 매우 효과적이라는 것을 발견했다.
본 발명에 의한 전자 디바이스용 기재 표면의 절연막 형성 방법은 상기 지견에 기초한 것으로서, 보다 상세하게는, 전자 디바이스용 기재 상에 절연막을 형성하는 공정에 있어서, 상기 공정에 포함되는 절연막 특성을 제어하는 2 이상의 공정이 동일한 동작 원리 하에서 이루어지는 것을 특징으로 하는 것이다.
본 발명에서는, 예컨대 전자 디바이스용 기재에 적어도 희가스를 포함하는 처리 가스를 이용한 플라즈마를 조사함으로써 세정 효과를 얻을 수 있고, 같은 플라즈마에 산소나 질소를 포함함으로써 산화나 질화를 실행할 수 있으며, 산화막을 비롯한 산소 원자를 포함하는 절연막에 같은 플라즈마에 적어도 수소를 포함함으로써 절연막의 두께를 저감시킬 수 있다.
상기 구성을 갖는 본 발명의 절연막의 형성 방법에 따르면, 예컨대 막질에중점을 두고 임의 두께의 막을 형성한 후에, 특정한 플라즈마 처리로 박막화함으로써, 임의의 막 두께의 절연막을 용이하게 얻을 수 있다.
이하, 필요에 따라서 도면을 참조하면서 본 발명을 더욱 구체적으로 설명하기로 한다. 이하의 기재에 있어서 양비(量比)를 나타내는 「부」 및 「%」는 특별한 제한이 없는 한 질량 기준으로 한다.
(절연막의 형성 방법)
본 발명에서는, 전자 디바이스용 기재에 적어도 희가스를 포함하는 처리 가스를 이용한 플라즈마를 조사함으로써 세정 효과를 얻을 수 있고, 같은 플라즈마에 산소나 질소를 포함함으로써 산화나 질화를 실행할 수 있으며, 산화막을 비롯한 산소 원자를 포함하는 절연막에 같은 플라즈마에 적어도 수소를 포함함으로써 절연막의 두께를 저감시키는 등의 2 이상의 공정을 임의로 조합함으로써, 매우 얇은 (15 A 이하) 절연막을 형성할 수 있다. 본 발명의 절연막의 형성 방법의 적용 대상은 특별히 제한되지 않지만, 본 발명은, 예컨대 성막 조건 등에 민감한 고유전률(High-k) 재료의 성막에 특히 적합한 표면을 가진 얇은 절연막을 부여한다.
(형성되는 절연막)
본 발명에 의해 형성할 수 있는 절연막의 조성, 두께, 형성법, 특성은 다음과 같다.
조성 : 산화막, 산질화막, 질화막
형성법 : 적어도 희가스를 포함하는 플라즈마를 이용한 단일 용기 내에 있어서, 전자 기재 상에 세정, 산화, 질화, 박막화의 1 또는 2 이상의 공정을 실시함.혹은, 동일한 동작 원리에 의해 형성되는 적어도 희가스를 포함하는 플라즈마를 복수의 용기 내에 발생시켜, 전자 기재 상에 세정, 산화, 질화, 박막화의 공정을 실시함.
두께 : 물리적 박막 5 A∼20 A
(막질 및 막 두께의 평가)
본 발명에 의해 얻어진 얇은 절연막의 막질 및 막 두께의 정도는, 예컨대 상기 표면 상에 실제로 High-k 재료를 성막함으로써, 적합하게 평가할 수 있다. 이 때에 양질의 High-k 재료막을 얻을 수 있었는지의 여부는, 예컨대 문헌(VLSI 디바이스의 물리, 기시노마사츠요 ·고야나기미츠마사 저, 마루젠 P62∼P63)에 기재된 것과 같은 표준 MOS 반도체 구조를 형성하여, 그 MOS의 특성을 평가함으로써, 상기 절연막 자체의 특성 평가를 대신할 수 있다. 이러한 표준 MOS 구조에서는, 상기 구조를 구성하는 절연막의 특성이 MOS 특성에 강한 영향을 주기 때문이다.
이러한 MOS 구조의 형성으로서는, 예컨대 후술하는 실시예 1의 조건으로, 그 High-k 재료막을 포함하는 MOS 커패시터를 형성할 수 있다. 이와 같이 실시예 1의 조건으로, High-k 재료막을 포함하는 MOS 커패시터를 형성한 경우에, 본 발명에서는, 하기와 같은 (1) 플랫 밴드 특성 또는 (2) 누설 특성(보다 바람직하게는 이들 양쪽 모두)을 얻을 수 있는 것이 바람직하다.
(1) 바람직한 플랫 밴드 특성 : 열산화막과 비교하여 ±50 mV 이내
(2) 누설 특성 : 열산화막과 비교하여 1 자릿수 이하의 저감
(후처리와의 조합)
본 발명의 절연막의 형성 방법에 의해 얻어지는 얇은 절연막은 여러 가지의 계속되는 처리에 적합한 것이 된다. 이러한 「후처리」는 특별히 제한되지 않고, 산화막의 형성, CVD 등에 의한 성막, 에칭 등의 여러 가지 처리라도 좋다. 본 발명의 절연막의 형성 방법은 저온에서 실행할 수 있기 때문에, 그 후의 처리도 비교적 저온(바람직하게는 600℃ 이하, 나아가서는 500℃ 이하)의 온도 조건하의 처리와 조합한 경우에, 특히 효과적이다. 그 이유는 본 발명을 이용함으로써, 디바이스 제작 공정에 있어서 가장 고온을 필요로 하는 공정의 하나인 산화막 형성을 저온에서 행할 수 있기 때문에, 높은 열 이력을 피한 디바이스 제작이 가능해지고 있기 때문이다.
(전자 디바이스용 기재)
본 발명에 있어서 사용 가능한 상기 전자 디바이스용 기재는 특별히 제한되지 않으며, 공지의 전자 디바이스용 기재의 1종 또는 2종 이상의 조합에서 적절하게 선택하여 사용하는 것이 가능하다. 이러한 전자 디바이스용 기재의 예로서는, 예컨대 반도체 재료, 액정 디바이스 재료 등을 들 수 있다. 반도체 재료의 예로서는, 예컨대 단결정 실리콘을 주성분으로 하는 재료, 실리콘 게르마늄을 주성분으로 하는 재료 등을 들 수 있다.
(처리 가스)
본 발명에 있어서 사용 가능한 처리 가스는, 적어도 희가스를 포함하는 한 특별히 제한되지 않으며, 전자 디바이스 제조에 사용할 수 있는 공지의 처리 가스의 1종 또는 2종 이상의 조합에서 적절하게 선택하여 사용하는 것이 가능하다. 이러한 처리 가스(희가스)의 예로서는, 예컨대 Ar, He, Kr, Xe, Ne, O2, N2, H2, NH3를 들 수 있다.
(처리 조건)
본 발명의 절연막의 형성에 있어서는, 생성되어야 할 얇은 절연막의 특성의 점에서는, 하기의 조건을 적합하게 사용할 수 있다.
희가스(예컨대, Kr, Ar, He, Xe 또는 Ne) : 500∼3000 sccm, 보다 바람직하게는 1000∼2000 sccm,
세정 공정에서는, 적어도 희가스를 포함하는 처리 가스로, 수소 가스를 더욱 첨가할 수 있다. 수소 가스의 유량은 H2: 0∼100 sccm, 보다 바람직하게는 0∼50 sccm 이다.
산화 공정에서는, 적어도 희가스와 산소를 포함하는 처리 가스로, 산소 가스 유량은 O2: 10∼500 sccm, 보다 바람직하게는 10∼200 sccm이다.
질화 공정에서는, 적어도 희가스와 질소를 포함하는 처리 가스로, 질소 가스 유량은 N2: 3∼300 sccm, 보다 바람직하게는 20∼200 sccm 이다.
에칭 공정에서는 적어도 희가스와 수소를 포함하는 처리 가스로, 수소 가스 유량은 H2: 0∼100 sccm, 보다 바람직하게는 0∼50 sccm 이다.
온도 : 실온 25℃∼500℃, 보다 바람직하게는 250∼500℃, 특히 바람직하게는 250∼400℃
압력 : 3∼500 Pa, 보다 바람직하게는 7∼260 Pa,
마이크로파 : 1∼5 W/cm2, 보다 바람직하게는 2∼4 W/cm2, 특히 바람직하게는 2∼3 W/cm2
본 발명에 있어서 사용 가능한 플라즈마는 특별히 제한되지 않지만, 균일한 박막화가 용이하게 얻어진다는 점에서는, 전자 온도가 비교적 낮고 또 고밀도의 플라즈마를 이용하는 것이 바람직하다.
(적합한 플라즈마)
본 발명에서 적합하게 사용할 수 있는 플라즈마의 특성은 다음과 같다.
전자 온도 : 0.5∼2.0 eV
밀도 : 1E10∼5E12/cm3
플라즈마 밀도의 균일성 : ±10%
(평면 안테나 부재)
본 발명의 절연막의 형성 방법에 있어서는, 복수의 슬롯을 갖는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 전자 온도가 낮고 또한 고밀도의 플라즈마를 형성하는 것이 바람직하다. 본 발명에서는, 이러한 우수한 특성을 갖는 플라즈마를 이용하여 산질화막을 형성하기 때문에, 플라즈마 손상이 작고, 또한 저온에서 반응성이 높은 공정이 가능해진다. 본 발명에서는, 또한 (종래의 플라즈마를 이용한 경우에 비교하여) 평면 안테나 부재를 통해 마이크로파를 조사함으로써, 보다 적합하게 박막화된 절연막의 형성이 용이하다는 이점을 얻을 수 있다.
본 발명에 따르면, 박막화된 절연막을 형성할 수 있다. 따라서, 이 박막화된 절연막 상에 다른 층(예컨대, 다른 절연층)을 형성함으로써, 특성이 우수한 반도체 장치의 구조를 형성하는 것이 용이하게 된다. 본 발명에 의해 박막화된 절연막은 상기 박막화 절연막의 표면 상에의 High-k 재료막의 성막에 특히 적합하다.
(High-k 재료)
본 발명에 있어서 사용 가능한 High-k 재료는 특별히 제한되지 않지만, 물리적 막 두께를 증가시키는 점에서는 k(비유전률)의 값이 7 이상, 나아가서는 10 이상인 것이 바람직하다.
이러한 High-k 재료의 예로서는, Al2O3, ZrO2, HfO2, Ta2O5및 ZrSiO, HfSiO 등의 실리케이트; ZrAlO 등의 알루미네이트로 이루어지는 그룹에서 선택되는 1 또는 2 이상의 것을 적합하게 사용할 수 있다.
(동일 용기 내에서의 처리)
이하에 설명하는 「동일한 용기 내」란, 어떤 공정 후에, 피처리 기재를 상기 용기의 벽을 통과시키는 일없이 이어지는 처리에 이용하는 것을 말한다. 복수의 용기를 조합시켜 이루어지는, 소위 「클러스터」 구조를 이용한 경우, 상기 클러스터를 구성하는 다른 용기 사이에서 이동이 있었다면, 본 발명에서 말하는 「동일한 용기 내」가 아닌 것으로 한다.
본 발명에 있어서, 이와 같이 「동일한 용기 내」에서, 처리하여야 할 기재(실리콘 기판 등)를 대기에 폭로하지 않고, 연속적으로 복수의 공정을 동일한 원리를 가진 반응실 내에서 실행할 수 있게 되어, 예컨대 하나의 반응실에서 모든 공정을 실행함으로써 풋프린트의 저감이 실현된다. 또한, 각 공정을 별도의 반응실에서 처리하는 경우도, 동작 원리가 동일한 반응실을 나란히 늘어놓기 때문에, 가스 배관이나 조작 패널을 동일한 것으로 할 수도 있어, 우수한 메인터넌스, 조작성을 실현할 수 있다. 더욱이, 동일한 장치이기 때문에 장치 사이에서 날아 들어오는 오염의 가능성은 낮으며, 복수의 반응실을 갖는 클러스터 구성을 채택할 지라도 처리 순서를 여러 가지로 바꾸는 것이 가능하다. 이 방법을 이용하면 여러 가지 특성을 갖는 게이트 절연막의 제작이 가능해진다.
본 발명을 이용하여 제작된 산화막 또는 산질화막을 그대로 게이트 절연막으로서 사용하는 것도 가능한데, 본 발명을 이용하여 극박(∼10 A ; 옹그스트롱)의 산화막 또는 산질화막을 형성하고, 그 위에 High-k 등의 고유전률을 갖는 물질을 성막함으로써, High-k 물질 단독으로 게이트 절연막을 형성한 경우보다도 계면 특성, 예컨대 트랜지스터의 캐리어 이동도가 높은 적층 게이트 절연막 구조(게이트 스택 구조)를 제조하는 것도 가능해진다.
(MOS 반도체 구조의 적합한 특성)
본 발명에 의해 청정화된 기재 상에 형성할 수 있는 매우 얇고 더구나 양질의 절연막은 반도체 장치의 절연막(특히 MOS 반도체 구조의 게이트 절연막)으로서 특히 적합하게 이용할 수 있다.
본 발명에 따르면, 하기와 같이 적합한 특성을 갖는 MOS 반도체 구조를 용이하게 제조할 수 있다. 한편, 본 발명에 의해 형성된 산질화막의 특성을 평가할 때는, 예컨대 문헌(VLSI 디바이스의 물리, 기시노마사츠요 ·고야나기미츠마사 저, 마루젠 P62∼P63)에 기재된 것과 같은 표준 MOS 반도체 구조를 형성하여, 그 MOS의 특성을 평가함으로써, 상기 산질화막 자체의 특성 평가를 대신할 수 있다. 이러한 표준적인 MOS 구조에서는 상기 구조를 구성하는 산질화막의 특성이 MOS 특성에 강한 영향을 주기 때문이다.
(제조 장치의 한 형태)
이하, 본 발명의 형성 방법의 적합한 한 형태에 관해서 설명하기로 한다.
우선 본 발명의 전자 디바이스 재료의 제조 방법으로 제조할 수 있는 반도체 장치의 구조의 일례에 관해서, 절연막으로서 게이트 절연막을 갖는 MOS 구조를 갖는 반도체 장치를 도 1을 참조하면서 설명한다.
도 1을 참조하면, 이 도 1에 있어서 참조번호 1은 실리콘 기판, 11은 필드 산화막, 2는 게이트 절연막이며, 13은 게이트 전극이다. 전술한 바와 같이, 본 발명의 형성 방법에 따르면 매우 얇고 또한 양질의 게이트 절연막(2)을 형성할 수 있다. 이 게이트 절연막(2)은 도 1에 도시한 바와 같이, 실리콘 기판(1)과의 계면에 형성된 고품질의 절연막으로 이루어진다. 예컨대 2 nm 정도 두께의 산화막 혹은 산질화막에 의해 구성되어 있다.
이 예에서는, 이 품질이 높은 산화막(2)은 O2, N2및 희가스를 포함하는 처리 가스의 존재하에서, Si를 주성분으로 하는 피처리 기체에, 복수의 슬롯을 갖는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 피처리 기체 표면에 형성된 실리콘 산질화막(이하 「SiON 막」이라고 함)으로 이루어지는 것이 바람직하다. 이러한 SiON2막을 이용했을 때에는 후술하는 바와 같이, 서로 간의 계면 특성(예컨대, 계면 준위)이 양호하고, 또한 MOS 구조로 했을 때에 양호한 게이트 누설 특성을 얻는 것이 용이하다고 하는 특징이 있다.
도 1에 도시하는 형태에 있어서는, 이 실리콘 산질화막의 표면 위에는, 또한 실리콘(폴리실리콘 또는 아모르퍼스 실리콘)을 주성분으로 하는 게이트 전극(13)이 형성되어 있다.
(제조 방법의 한 형태)
이어서, 이러한 실리콘 산질화막의 제조 방법에 관해서 설명하기로 한다.
도 2는 본 발명의 전자 디바이스 재료의 제조 방법을 실시하기 위한 반도체 제조 장치(30)의 전체 구성의 일례를 도시한 개략도(모식 평면도)이다.
도 2에 도시한 바와 같이, 이 반도체 제조 장치(30)의 대략 중앙에는, 웨이퍼(W)(도 2)를 반송하기 위한 반송실(31)이 배치되어 있고, 이 반송실(31)의 주위를 둘러싸도록, 웨이퍼에 여러 가지 처리를 하기 위한 플라즈마 처리 유닛(32, 33), 각 처리실 사이의 연통/차단 조작을 하기 위한 2개의 로드록 유닛(34, 35)이 배치되어 있다.
로드록 유닛(34, 35)의 옆으로는, 여러 가지 예비 냉각 내지 냉각 조작을 하기 위한 예비 냉각 유닛(45), 냉각 유닛(46)이 각각 배치되어 있다.
반송실(31)의 내부에는 반송 아암(37, 38)이 배치되어 있어, 상기 각 유닛(32∼36)과의 사이에서 웨이퍼(W)(도 2)를 반송할 수 있다.
로드록 유닛(34, 35)의 도면 중 전방측에는 로우더 아암(41, 42)이 배치되어 있다. 이들 로우더 아암(41, 42)은 더욱이 그 전방측에 배치된 카세트 스테이지(43) 상에 셋트된 4대의 카세트(44)와의 사이에서 웨이퍼(W)를 출납할 수 있다.
한편, 도 2 중 플라즈마 처리 유닛(32, 33)으로서는 동일 형의 플라즈마 처리 유닛이 2개 병렬로 셋트되어 있다.
더욱이, 이들 플라즈마 처리 유닛(32, 33)은 함께 싱글 챔버형 CVD 처리 유닛과 교환하는 것이 가능하며, 플라즈마 처리 유닛(32 또는 33)의 위치에 하나 또는 2개의 싱글 챔버형 CVD 처리 유닛을 셋트하는 것도 가능하다.
플라즈마 처리 유닛이 2개인 경우, 예컨대 처리 유닛(32)에서 SiO2막을 형성한 후, 처리 유닛(33)에서 SiO2막을 표면 질화하는 방법을 실행하여도 좋고, 또한 처리 유닛(32 및 33)에서 병렬로 SiO2막 형성과 SiO2막의 표면 질화를 실시하여도 좋다.
(플라즈마 처리 장치의 한 형태)
도 3은 게이트 절연막(2)의 성막에 사용할 수 있는 플라즈마 처리 유닛(32, 33)의 수직 방향의 모식 단면도이다.
도 3을 참조하면, 참조번호 50은 예컨대 알루미늄에 의해 형성된 진공 용기이다. 이 진공 용기(50)의 상면에는, 기판(예컨대 웨이퍼 W)보다도 큰 개구부(51)가 형성되어 있고, 이 개구부(51)를 막도록, 예컨대 석영이나 산화알루미늄 등의 유전체에 의해 구성된 편평한 원통 형상의 상부판(54)이 마련되어 있다. 이 상부판(54)의 하면인 진공 용기(50)의 상부측의 측벽에는 예컨대 그 둘레 방향을 따라서 균등하게 배치한 16곳의 위치에 가스 공급관(72)이 설치되고 있고, 이 가스 공급관(72)으로부터 O2나 희가스, N2및 H2등에서 선택된 1종 이상을 포함하는 처리 가스가 진공 용기(50)의 플라즈마 영역(P) 근방에 치우치지 않고 균등하게 공급되도록 되어 있다.
상부판(54)의 외측에는, 복수의 슬롯을 갖는 평면 안테나 부재, 예컨대 동판에 의해 형성된 평면 안테나(RLSA)(60)를 통해, 고주파 전원부를 이루고, 예컨대 2.45 GHz의 마이크로파를 발생하는 마이크로파 전원부(61)에 접속된 도파로(63)가 형성되어 있다. 이 도파로(63)는 RLSA(60)에 하부 가장자리가 접속된 편평한 평판형 도파로(63A)와, 이 평판형 도파로(63A)의 상면에 일단측이 접속된 원통형 도파관(63B)과, 이 원통형 도파관(63B)의 상면에 접속된 동축 도파 변환기(63C)와, 이 동축 도파 변환기(63C)의 측면에 직각으로 일단측이 접속되고 타단측이 마이크로파 전원부(61)에 접속된 직사각형 도파관(63D)을 조합하여 구성되어 있다.
상기 원통형 도파관(63B)의 내부에는 도전성 재료로 이루어지는 축부(62)의 일단측이 RLSA(60)의 상면의 대략 중앙에 접속하고, 타단측이 원통형 도파관(63B)의 상면에 접속하도록 동축형으로 형성되어 있으며, 이에 따라 상기 도파관(63B)은 동축 도파관으로서 구성되어 있다.
또, 진공 용기(50) 내에는, 상부판(54)과 대향하도록 웨이퍼(W)의 적재대(52)가 설치되어 있다. 이 적재대(52)에는 도시하지 않는 온도 조절부가 내장되어 있고, 이에 따라 상기 적재대(52)는 열판으로서 기능하도록 되어 있다. 더욱이 진공 용기(50)의 바닥부에는 배기관(53)의 일단측이 접속되어 있고, 이 배기관(53)의 타단측은 진공 펌프(55)에 접속되어 있다.
(RLSA의 한 형태)
도 4는 본 발명의 전자 디바이스 재료의 제조 장치에 사용할 수 있는 RLSA(60)의 일례를 도시하는 모식 평면도이다.
도 4에 도시한 바와 같이, 이 RLSA(60)에서는, 표면에 복수의 슬롯(60a, 60a, …)이 동심원형으로 형성되어 있다. 각 슬롯(60a)은 대략 사각형의 관통된 홈이며, 인접하는 슬롯끼리는 서로 직교하여 대략 알파벳의 「T」 문자를 형성하도록 배치되어 있다. 슬롯(60a)의 길이나 배열 간격은 마이크로파 전원부(61)로부터 발생한 마이크로파의 파장에 따라서 결정된다.
(플라즈마 처리의 한 형태)
계속해서, 본 발명에 이용하는 플라즈마 처리의 한 형태에 관해서 설명하기로 한다.
플라즈마 처리 유닛(32)(도 2) 내의 진공 용기(50)의 측벽에 설치한 게이트 밸브(도시하지 않음)를 열어, 반송 아암(37, 38)에 의해, 상기 실리콘 기판(1) 표면에 필드 산화막(11)이 형성된 웨이퍼(W)를 적재대(52)(도 3) 상에 적재한다.
이어서 게이트 밸브를 닫아 내부를 밀폐한 후, 진공 펌프(55)에 의해 배기관(53)을 통해 내부 분위기를 배기하여 소정의 진공도까지 진공빼기하여, 소정의 압력으로 유지한다. 한편 마이크로파 전원부(61)로부터 예컨대 1.80 GHz(2200 W)의 마이크로파를 발생시키고, 이 마이크로파를 도파로에 의해 안내하여 RLSA(60) 및 상부판(54)을 통해 진공 용기(50) 내에 도입하고, 이에 따라 진공 용기(50) 내의 상부측의 플라즈마 영역(P)에서 고주파 플라즈마를 발생시킨다.
여기서 마이크로파는 직사각형 도파관(63D) 내를 직사각형 모드로 전송하고, 동축 도파 변환기(63C)에서 직사각형 모드에서 원형 모드로 변환되어, 원형 모드에서 원통형 동축 도파관(63B)을 전송하고, 또한 평판형 도파로(63A)를 직경 방향으로 전송해 나가, RLSA(60)의 슬롯(60a)으로부터 방사되어, 상부판(54)을 투과하여 진공 용기(50)에 도입된다. 이 때 마이크로파를 이용하기 때문에 고밀도 ·저전자 정도의 플라즈마가 발생하고, 또 마이크로파를 RLSA(60)의 다수의 슬롯(60a)으로부터 방사하고 있기 때문에, 이 플라즈마는 균일하게 분포된다.
산화막을 형성하는 경우는 마이크로파의 도입에 앞서서 웨이퍼(W)를 도 3의 반응실(50)에 도입하여, 스테이지(52)로 가열하면서 가스 공급관(72)으로부터 산화막 형성용의 처리 가스인 크립톤이나 아르곤 등의 희가스와, 산소 가스를 각각 2000 sccm, 200 sccm의 유량으로 도입한다. 반응실의 압력을 133 Pa로 유지하고 마이크로파를 2 W/cm2로 도입함으로써 플라즈마를 발생시켜 산소 라디칼을 실리콘 웨이퍼(W) 표면에서 반응시킴으로써 실리콘 산화막을 형성한다. 산화 전처리의 경우는 처리 가스로서 희가스만을, 혹은 희가스와 수소 가스를 적합하게 사용한다. 질화 처리의 경우는 처리 가스로서 희가스와 질소를 포함하는 가스를 사용한다.
이하, 실시예에 의해 본 발명을 구체적으로 설명하기로 한다.
실시예 1
이하의 방법에 의해, 여러 가지 평가를 하기 위한 디바이스(N형 MOS 커패시터)를 형성했다.
(1) : 기판(도 9)
도 9에 도시된 바와 같이, 기판에는 P형의 실리콘 기판을 이용하여, 비저항이 8∼12 Ωcm, 면방위(100)인 것을 이용했다. 실리콘 기판 표면에는 열산화법에 의해 500 A(옹그스트롱) 희생 산화막이 성막되어 있다.
(2) : 게이트 산화전 세정
APM(암모니아, 과산화수소수, 순수한 물의 혼합액)과 HPM(염산, 과산화수소수, 순수한 물의 혼합액) 및 DHF(불산과 순수한 물의 혼합액)을 조합시킨 RCA 세정에 의해서 희생 산화막과 오염 요소(금속이나 유기물, 파티클)를 제거했다.
(3) : 산화전 플라즈마 처리(도 10)
상기 (2)의 처리후에, 기판 상에 RLSA 플라즈마 처리를 실시했다(도 10). 처리 조건은 다음과 같다. 웨이퍼를 도 2의 32 및 도 3에 나타내어지는 진공(배압 1 ×10-4Pa 이하)의 반응 처리실로 반송한 후, 기판 온도 400℃, 희가스(예컨대 Ar 가스) 1000 sccm, 압력을 7 Pa∼133 Pa(50 mTorr∼1 Torr)로 유지했다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 희가스 플라즈마를 발생시켜, 기판 표면 상에 플라즈마 처리를 실시했다(도 10). 또, 경우에 따라서 희가스에 수소 5∼30 sccm을 포함시킴으로써, 수소 플라즈마에 의한 산화 전처리를 하는 경우가 있다.
(4) : 플라즈마 산화 공정(도 11)
상기 (3)의 처리가 실시된 실리콘 기판 상에 다음에 나타내는 바와 같은 방법으로 산화막을 형성했다. (3)의 처리가 실시된 실리콘 기판을 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리하고, 진공 반송계를 이용하여, 대기에의 폭로를 막아 다른 반응실(33)에서 처리하는 등〕함으로써, (3)의 처리에서 얻어진 유기물 오염 제거나 자연 산화막 제거 효과를 최적으로 유지한 채로, 산화 처리를 할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 산소를 각각 1000∼2000 sccm, 50∼500 sccm씩 도입하고, 압력을 13 Pa∼133 Pa(100 mTorr∼1000 mTorr)로 유지했다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 산소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 3의 기판 상에 SiO2막을 성막했다(도 11). 또한, 처리 시간을 포함하는 처리 조건을 바꿈으로써 막 두께를 제어했다.
(5) : 플라즈마 질화 공정(도 11)
상기 (4)의 처리가 실시된 산화막 상에 다음에 나타내는 바와 같은 방법으로 질화를 실시했다. (4)의 처리가 실시된 산화막 상에 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리를 하고, 진공 반송계를 이용하여, 대기에의 폭로를 막아 다른 반응실(33)에서 처리하는 등〕함으로써, (4)의 처리에서 얻어진 산화막 상부에의 유기물 오염이나 자연 산화막 증가를 억제한 채로, 질화 처리를 실시할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 질소를 각각 500∼2000 sccm, 4∼500 sccm씩 도입하고, 압력을 3 Pa∼133 Pa(20 mTorr∼1000 mTorr)로 유지했다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 3 W/cm2의 마이크로파를 조사함으로써 질소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 기판 상에 산질화막(SiON막)을 성막했다(도 11).
(6) : 수소 플라즈마에 의한 박막화와 Vfb 시프트의 회복(도 11)
(5)의 처리가 실시된 산질화막 상에 다음에 나타내는 바와 같은 방법으로 수소 플라즈마에 의한 어닐링 처리를 실시했다. (5)의 처리가 실시된 산질화막 상에 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리하고, 진공 반송계를 이용하여, 대기에의 폭로를 막아 다른 반응실(33)에서 처리하는 등〕함으로써, (5)의 처리에서 얻어진 산질화막 상부에의 유기물 오염이나 자연 산화막 증가를 억제한 채로, 수소 플라즈마 어닐링 처리를 실시할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 수소를 각각 500∼2000 sccm, 4∼500 sccm씩 도입하고, 압력을 3 Pa∼133 Pa(20 mTorr∼1000 mTorr)로 유지했다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 수소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 산질화막 상에 수소 플라즈마 어닐링 처리를 실시했다(도 11). 도 11에 있어서의 SIMS 분석 샘플은 본 공정에서 처리를 멈추고, 분석한 것이다.
(7) : 게이트 전극용 폴리실리콘 성막
상기 처리 (3)∼(6)에서 형성한 산질화막 상에 게이트 전극으로서 폴리실리콘을 CVD법으로 성막했다. 산질화막이 성막된 실리콘 기판을 630℃에서 가열하고, 기판 상에 실란 가스 250 sccm을 33 Pa의 압력하에 도입하여 30분 유지함으로써 SiO2막 상에 막 두께 3000 A의 전극용 폴리실리콘을 성막했다.
(8) : 폴리실리콘에 P(인) 도핑
상기 (7)에서 제작된 실리콘 기판을 875℃로 가열하여, 기판 상에 POCl3가스와 산소 및 질소를 각각 350 sccm, 200 sccm, 20000 sccm씩 상압하에서 도입하여 24분간 유지함으로써 폴리실리콘 중에 인을 도핑했다.
(9) : 패터닝, 게이트 에치
상기 (8)에서 제작한 실리콘 기판 상에 리소그래피에 의해 패터닝을 실시하여, HF:HNO3:H2O=1:60:60 비율의 약액 중에 실리콘 기판을 3분간 침지함으로써 패터닝되어 있지 않은 부분의 폴리실리콘을 녹여, MOS 커패시터를 제작했다.
실시예 2
실시예 1에서 얻은 MOS 커패시터에 대한 측정은 다음에 도시한 바와 같은 방법으로 행했다. 게이트 전극 면적이 10000 ㎛2인 커패시터의 CV, IV 특성을 평가했다. CV 특성은 주파수 100 KHz, 게이트 전압을 +1V에서 -3V 정도까지 소인하여 각 전압에 있어서의 캐패시턴스를 평가함으로써 구했다. CV 특성으로부터 전기적 막 두께와 Vfb(플랫 밴드 전압)을 계산했다. 또한, IV 특성은 게이트 전압을 0V에서 -5V 정도까지 소인하여, 각 전압에서 흐르는 전류치(누설 전류치)를 평가함으로써 구했다. CV 측정으로부터 구한 Vfb로부터 -0.4V를 뺀 게이트 전극 전압에서의 누설 전류치를 IV 특성으로부터 계산했다.
도 5는 전(前) 플라즈마 처리를 실시한 경우와 실시하지 않은 경우의 산화막의 누설 특성을 비교한 것이다. 전 플라즈마 처리의 효과만을 보이기 위해서, 여기서 이용되고 있는 산화막에는 질화 및 후(後) 수소 처리는 실시되고 있지 않다. 횡축에 CV 특성으로부터 구한 전기적 막 두께, 종축은 게이트 전압 Vfb-0.4V(Vfb가 -0.8V 정도이기 때문에, 약 -1.2V)에 있어서의 누설 전류치를 나타냈다. 도 5로부터 알 수 있는 것과 같이 전 플라즈마 처리를 실시함으로써 산화막의 누설 전류치를 저감하는 데에 성공하고 있다.
도 6은 전 플라즈마 처리를 실시한 RLSA 플라즈마 산화막과, 현재 일반적으로 디바이스에 이용되고 있는 열산화막의 플랫 밴드 특성을 비교한 것이다. 횡축에 CV 특성으로부터 구한 전기적 막 두께, 종축에 CV 특성으로부터 구한 플랫 밴드 전압을 나타냈다. 막이나 계면에 캐리어의 트랩이 되는 결함 등이 존재하면, 플랫 밴드 전압은 크게 마이너스 방향으로 시프트한다는 것이 알려져 있지만, 전 플라즈마 처리를 실시한 막은 열산화막과 동등한 값(약 -0.8V)을 나타내고 있으며, 본 공정에서의 플랫 밴드 특성의 열화는 보이지 않았다.
도 7a는 본 발명에 있어서의 복수 공정(multi-process)을 이용한 게이트 산질화막의 전기적 막 두께의 시간 경과에 따른 변화(각 공정에 있어서의 전기적 막 두께의 변화)를 도시한다. 횡축은 처리 시각, 종축은 전기적 막 두께이다. 질화 처리를 실시함으로써 전기적 막 두께를 1∼3.5 A 저감하는 데에 성공하고 있다. 또한, 후 질소 처리를 실시함으로써 한층 더 박막화에도 성공하고 있다.
도 7b는 도 9와 같은 식의 막의 플랫 밴드 전압의 시간 경과에 따른 변화(각 공정에 있어서의 플랫 밴드 전압의 변화)를 도시한다. 횡축은 처리 시각, 종축은 플랫 밴드 전압이다. 막이나 계면에 캐리어의 트랩이 되는 결함 등이 존재하면, 플랫 밴드 전압은 크게 마이너스 방향으로 시프트한다는 것이 알려져 있지만, 후 플라즈마 수소 처리를 실시한 막은 플랫 밴드 시프트의 회복을 보이고 있어, 질화에 의해서 열화된 막 특성의 회복이 생기고 있음이 나타내어진다.
도 8로부터 알 수 있는 것과 같이 수소 처리를 실시함으로써 막 두께(산소가 포함되어 있는 층의 두께)가 감소하고 있음을 알 수 있다. 이것은 수소 반응종에 의한 환원 작용에 의한 것이라고 생각된다. 이 공정을 유효하게 이용함으로써 제어가 곤란한 영역(∼10 A) 박막화의 제어(에칭)도 가능해진다.
도 7a와 도 7b에서 알 수 있는 것과 같이, 본 발명을 이용하면, 실리콘 기판을 대기에 폭로하지 않고, 연속적으로 복수의 공정을 동일한 원리를 갖은 반응실 내에서 실행하는 것이 가능하게 되어, 예컨대 하나의 반응실에서 모든 공정을 실행함으로써 풋프린트의 저감이 실현된다. 또한, 각 공정을 별도의 반응실에서 처리하는 경우도 동작 원리가 동일한 반응실을 나란히 늘어놓기 때문에, 가스 배관이나조작 패널을 동일한 것으로 할 수 있어, 우수한 메인터넌스, 조작성을 실현할 수 있다. 더욱이, 동일한 장치이기 때문에 장치 사이의 유입 오염의 가능성은 낮으며, 복수의 반응실을 갖는 클러스터 구성을 채택한 경우에도 처리 순서를 여러 가지로 바꾸는 것이 가능하다. 이 방법을 이용하면 여러 가지 특성을 갖는 게이트 절연막의 제작이 가능해진다.
또, 상기 예에서는 본 발명을 이용하여 제작된 산질화막을 그대로 게이트 절연막으로서 사용하고 있지만, 본 발명을 이용하여 극박(∼10 A; 옹그스트롱)의 산질화막을 형성하고, 그 위에 High-k 등의 고유전률을 갖는 물질을 성막함으로써, High-k 물질 단독으로 게이트 절연막을 형성한 경우보다도 계면 특성, 예컨대 트랜지스터의 캐리어 이동도가 높은 적층 게이트 절연막 구조(게이트 스택 구조)를 제조하는 것도 가능해진다.
실시예 3
본 형태에 따른 논리 디바이스의 제조 방법은, 크게 나누어 「소자 분리→ MOS 트랜지스터 제작→용량 제작→층간절연막 성막 및 배선」과 같은 흐름으로 이루어진다.
이하에 본 발명의 공정이 포함되는 MOS 트랜지스터 제작의 전(前) 공정 중에서도, 특히 본 발명과 관련이 깊은 MOS 구조의 제작에 관해서, 일반적인 예를 들어 설명하기로 한다.
(1) : 기판
기판에는 P형 혹은 N형의 실리콘 기판을 이용하며, 비저항이 1∼30 Ωcm, 면방위(100)인 것을 이용한다. 이하에서는 P형 실리콘 기판을 이용한 MOS 트랜지스터의 제작 방법에 관해서 설명하기로 한다.
실리콘 기판 상에는 목적에 따라서, STI나 LOCOS 등의 소자 분리 공정이나 채널 이온 주입이 실시되고 있으며, 게이트 산화막이나 게이트 절연막이 성막되는 실리콘 기판 표면에는 희생 산화막이 성막되고 있다(도 9).
(2) : 게이트 산화막(게이트 절연막) 성막전의 세정
일반적으로 APM(암모니아, 과산화수소수, 순수한 물의 혼합액)과 HPM(염산, 과산화수소수, 순수한 물의 혼합액) 및 DHF(불산과 순수한 물의 혼합액)을 조합시킨 RCA 세정에 의해서 희생 산화막과 오염 요소(금속이나 유기물, 파티클)를 제거한다. 필요에 따라서, SPM(황산과 과산화수소수의 혼합액), 오존수, FPM(불산, 과산화수소수, 순수한 물의 혼합액), 염산수(염산과 순수한 물의 혼합액), 유기 알칼리 등을 이용할 때도 있다.
(3) : 기초 산화 전 플라즈마 처리
(2)의 처리 후에, 기초 산화막 형성의 전(前)공정으로서 기판 상에 RLSA 플라즈마 처리를 실시한다. 처리 조건은 예컨대 다음과 같은 것을 생각할 수 있다. 웨이퍼를 진공(배압 1 ×10-4Pa 이하)의 반응 처리실(32)로 반송한 후, 기판 온도 400℃, 희가스(예컨대 Ar 가스) 1000 sccm, 압력을 7 Pa∼133 Pa(50 mTorr∼1000 mTorr)로 유지한다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 희가스 플라즈마를 발생시켜, 기판표면 상에 플라즈마 처리를 실시한다. 또, 경우에 따라 혼합 가스에 수소 5∼30 sccm 포함시킴으로써, 수소 플라즈마에 의한 산화 전처리를 실시하는 경우가 있다(도 10).
(4) : 기초 산화막의 형성
(3)의 처리가 실시된 실리콘 기판 상에 다음에 나타내는 것과 같은 방법으로 산화막을 형성한다. (3)의 처리가 실시된 실리콘 기판에 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리함〕함으로써, (3)의 처리에서 얻어진 유기물 오염 제거나 자연 산화막 제거 효과를 알맞게 유지한 채로, 산화 처리를 할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 산소를 각각 1000∼2000 sccm, 50∼500 sccm씩 도입하고, 압력을 13 Pa∼133 Pa(100 mTorr∼1000 mTorr)으로 유지한다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 산소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 (3)의 기판 상에 SiO2막을 성막한다. 또한, 처리 시간을 포함하는 처리 조건을 바꿈으로써 막 두께를 제어하는 것이 가능하다(도 11).
(5) : 플라즈마 질화 공정
상기 (4)의 처리가 실시된 산화막 상에 다음에 나타내는 바와 같은 방법으로 질화를 실시한다. (4)의 처리가 실시된 산화막 상에 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리하고, 진공 반송계를이용하여, 대기에의 폭로를 막아 다른 반응실(33)에서 처리하는 등〕함으로써, (4)의 처리에서 얻어진 산화막 상부에의 유기물 오염이나 자연 산화막 증가를 억제한 채로, 질화 처리를 실시할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 질소를 각각 500∼2000 sccm, 4∼500 sccm씩 도입하고, 압력을 3 Pa∼133 Pa(20 mTorr∼1000 mTorr)로 유지한다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 질소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 기판 상에 산질화막(SiON막)을 성막한다(도 11).
(6) : 수소 플라즈마에 의한 박막화와 Vfb 시프트의 회복
상기 (5)의 처리가 실시된 산질화막 상에 다음에 나타내는 바와 같은 방법으로 수소 플라즈마에 의한 어닐링 처리를 실시한다. (5)의 처리가 실시된 산질화막 상에 대기에 폭로하지 않는 채로 다음과 같은 공정을 실행〔예컨대 동일한 반응실(32)에서 처리하고, 진공 반송계를 이용하여, 대기에의 폭로를 막아 다른 반응실(33)에서 처리하는 등〕함으로써, (5)의 처리에서 얻어진 산질화막 상부에의 유기물 오염이나 자연 산화막 증가를 억제한 채로, 수소 플라즈마 어닐링 처리를 실시할 수 있다. 400℃로 가열된 실리콘 기판 상에 희가스와 수소를 각각 500∼2000 sccm, 4∼500 sccm씩 도입하고, 압력을 3 Pa∼133 Pa(20 mTorr∼1000 mTorr)로 유지한다. 그 분위기 중에 복수의 슬롯을 갖는 평면 안테나 부재(RLSA)를 통해 2∼3 W/cm2의 마이크로파를 조사함으로써 수소 및 희가스를 포함하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 산질화막 상에 수소 플라즈마 어닐링 처리를 실시한다(도 11).
(7) : High-k 게이트 절연막의 형성
상기 (6)에서 형성된 기초 산질화막 상에 High-k 물질을 성막한다. High-k 게이트 절연막 형성 방법은 CVD를 이용하는 공정과 PVD를 이용하는 공정으로 크게 나뉜다. 여기서는 주로 CVD에 의한 게이트 절연막의 형성에 관해서 설명한다. CVD에 의한 게이트 절연막의 형성은 원료 가스(예컨대 HTB:Hf(OC2H5)4와 SiH4)를 200℃에서 1000℃ 범위 내에서 가열한 전술한 실리콘 기판 상에 공급하여, 열에 의해서 형성된 반응종(예컨대, Hf 라디칼과 Si 라디칼, O 라디칼)을 막 표면에서 반응시킴으로써 성막(예컨대, HfSiO)을 실행한다. 반응종은 플라즈마에 의해 생성되는 경우도 있다. 일반적으로 게이트 절연막이 물리적인 막 두께로서는 1 nm에서 10 nm의 막 두께가 이용된다(도 12).
(8) : 게이트 전극용 폴리실리콘 성막
상기 (7)에서 형성한 High-k 게이트 절연막(기초 게이트 산화막을 포함함) 상에 MOS 트랜지스터의 게이트 전극으로서 폴리실리콘(아모르퍼스 실리콘을 포함함)을 CVD법으로 성막한다. 게이트 절연막의 성막된 실리콘 기판을 500℃에서 650℃의 범위 내에서 가열하여, 기판 상에 실리콘을 포함하는 가스(실란, 디실란 등)를 10에서 100 Pa의 압력하에서 도입함으로써 게이트 절연막 상에 막 두께 50 nm에서 500 nm의 전극용 폴리실리콘을 성막한다. 게이트 전극으로서는 폴리실리콘의 대체로서, 실리콘게르마늄이나 메탈(W, Ru, TiN, Ta, Mo 등)이 이용되는 경우도 있다(도 13).
그 후, 게이트의 패터닝, 선택 에칭을 행하여, MOS 커패시터를 형성하고(도 14), 이온 주입(implantation)을 실시하여 소스, 드레인을 형성한다(도 15). 그 후 어닐링에 의해 도펀트〔채널, 소스, 드레인에 이온 주입된 인(P), 비소(As), 붕소(B) 등〕의 활성화를 실행한다. 계속해서 후속 공정이 되는 층간절연막의 성막, 패터닝, 선택 에칭, 메탈의 성막을 조합시킨 배선 공정을 거쳐 본 형태에 따른 MOS 트랜지스터를 얻을 수 있다(도 16). 최종적으로 이 트랜지스터 상부에 여러 가지 패턴으로 배선 공정을 실시하여, 회로를 형성함으로써 논리 디바이스가 완성된다.
한편, 본 실시예에서는 절연막으로서 Hf 실리케이트(HfSiO막)를 형성했지만, 그 이외의 조성으로 이루어지는 절연막을 형성하는 것도 가능하다. 게이트 절연막으로서는, 종래부터 사용되고 있는 저유전률의 SiO2, SiON, 또 유전률이 비교적 높은 SiN이나 High-k 물질이라고 불리는 유전률이 높은 Al2O3, ZrO2, HfO2, Ta2O5및 ZrSiO, HfSiO 등의 실리케이트나 ZrAlO 등의 알루미네이트로 이루어지는 그룹에서 선택되는 1 또는 2 이상의 것을 들 수 있다.
또, 본 실시예에서는, 기초인 게이트 산질화막 형성을 목적으로 하고 있지만, High-k 물질을 성막하지 않고, 기초 게이트 산질화막을 그대로 게이트 절연막으로서 이용하는 것도 기초 산화막의 막 두께를 제어함으로써 가능하다.
또, 질화 처리를 하지 않는 산화막을 기초에 이용하거나, 산화막 그 자체를게이트 절연막으로서 이용하는 것도 가능하다.
또한, 필요에 따라서 산화 전처리나 후 수소 처리를 생략하거나, 처리 순서를 바꾸는 것도 가능하다.
이하에 목적에 따른 처리 순서의 예를 나타낸다.
1 : 게이트 산화막의 형성
산화 전처리→산화 처리→Poly 성막
2 : 게이트 산질화막의 형성-1
산화 전처리→산화 처리→질화 처리→후 수소 처리→Poly 성막
3 : 게이트 산질화막의 형성-2
산화 전처리→질화 처리→산화 처리→후 수소 처리→Poly 성막
4 : High-k 기초 산화막의 형성
산화 전처리→산화 처리→후 수소 처리에 의한 박막화→High-k 성막→Poly 성막
5 : High-k 기초 질화막의 형성
질화 전처리(산화 전처리와 마찬가지)→질화 처리→후 수소 처리→High-k 성막→Poly 성막
상기에 설명한 것은 본 발명의 형태의 일례이며, 그 이외에도 여러 가지 처리 방법이 동일한 장치 구성으로 가능하다.
지금까지 설명한 것과 같이, 본 발명을 이용하면, 실리콘 기판을 대기에 폭로하지 않고, 연속적으로 복수의 공정을 동일한 원리를 갖은 반응실 내에서 행하는것이 가능해져, 예컨대 하나의 반응실에서 세정, 산화, 질화, 에칭으로 이루어지는 복수의 공정을 실행함으로써 풋프린트의 저감이 실현된다. 또, 각 공정을 별도의 반응실에서 처리하는 경우도, 동작 원리가 동일한 반응실을 나란히 늘어놓기 때문에, 가스 배관이나 조작 패널을 동일한 것으로 할 수 있어, 우수한 메인터넌스, 조작성을 실현할 수 있다. 더욱이, 동일한 장치이기 때문에 장치 사이의 유입 오염의 가능성은 낮으며, 복수의 반응실을 갖는 클러스터 구성을 채택한 경우에도 처리 순서를 여러 가지로 바꾸는 것이 가능하다. 이 방법을 이용하면 여러 가지 특성을 갖는 게이트 절연막의 제작이 가능해진다.
전술한 바와 같이 본 발명에 따르면, 여러 가지 특성(예컨대, 극박막 두께의 제어나, 높은 청정도 등)이 우수한 절연막을 효율적으로(예컨대, 하나의 반응실에서 세정, 산화, 질화, 에칭으로 이루어지는 복수의 공정을 실행함에 따른 작은 풋프린트나, 동일한 동작 원리의 반응실에서 여러 가지 공정을 실행함에 따른 조작성의 간략화, 장치 간의 상호 오염의 억제 등) 제조하는 것이 가능해진다.

Claims (15)

  1. 전자 디바이스용 기재 상에 절연막을 형성하는 공정에 있어서, 상기 공정에 포함되는 절연막 특성을 제어하는 2 이상의 공정은 동일한 동작 원리하에서 실행되는 것을 특징으로 하는 기재 표면의 절연막 형성 방법.
  2. 제1항에 있어서, 상기 동일한 동작 원리하에서 실행되는 공정은 상기 기재 표면 및/또는 절연막의 세정, 산화, 질화 및 에칭으로 이루어지는 그룹에서 선택되는 2 이상의 공정인 것을 특징으로 하는 절연막 형성 방법.
  3. 제1항 또는 제2항에 있어서, 상기 전자 디바이스용 기재는 반도체 재료인 것을 특징으로 하는 절연막 형성 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 전자 디바이스용 기재는 단결정 실리콘을 주성분으로 하는 기판인 것을 특징으로 하는 절연막 형성 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 동작 원리는 적어도 희가스를 포함하는 처리 가스에 기초한 플라즈마를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  6. 제5항에 있어서, 상기 플라즈마는 평면 안테나 부재(RLSA)를 통한 마이크로파 조사에 기초한 플라즈마인 것을 특징으로 하는 절연막 형성 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 공정은 세정 공정을 포함하고, 상기 세정 공정은 적어도 희가스를 포함하는 처리 가스에 기초한 플라즈마에 기초한 처리를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  8. 제7항에 있어서, 상기 세정 공정은 적어도 희가스와 수소 가스를 포함하는 처리 가스에 기초한 플라즈마 처리를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 공정은 산화 공정을 포함하고, 상기 산화 공정은 적어도 희가스와 산소를 포함하는 처리 가스에 기초한 플라즈마 처리를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 공정은 질화 공정을 포함하고, 상기 질화 공정은 적어도 희가스와 질소를 포함하는 처리 가스에 기초한 플라즈마 처리를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  11. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 공정은 에칭 공정을 포함하고, 상기 에칭 공정은 적어도 희가스와 수소를 포함하는 처리 가스에 기초한 플라즈마 처리를 포함하는 것을 특징으로 하는 절연막 형성 방법.
  12. 제2항에 있어서, 상기 기재 표면 및/또는 절연막의 세정, 산화, 질화 및 에칭으로 이루어지는 그룹에서 선택되는 2 이상의 공정은 동일 용기 내에서 실행되는 것을 특징으로 하는 절연막 형성 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 공정에 의해 형성된 절연막은 CVD(화학 기상 증착) 절연막의 기초 절연막으로서 이용되는 것을 특징으로 하는 절연막 형성 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 절연막은 High-k(고유전률) 재료를 포함하는 절연막인 것을 특징으로 하는 절연막 형성 방법.
  15. 제2항에 있어서, 상기 기재 표면 및/또는 절연막의 세정, 산화, 질화 및 에칭으로 이루어지는 그룹에서 선택되는 2 이상의 공정은 상기 기재 표면 및/또는 절연막의 대기에의 폭로(대기 해방)를 피하여 실행되는 것을 특징으로 하는 절연막 형성 방법.
KR1020047012721A 2002-03-29 2003-03-31 절연막 형성 방법 KR100782954B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002097906A JP4001498B2 (ja) 2002-03-29 2002-03-29 絶縁膜の形成方法及び絶縁膜の形成システム
JPJP-P-2002-00097906 2002-03-29
PCT/JP2003/004091 WO2003083925A1 (fr) 2002-03-29 2003-03-31 Procede de formation d'un film isolant

Publications (2)

Publication Number Publication Date
KR20040086384A true KR20040086384A (ko) 2004-10-08
KR100782954B1 KR100782954B1 (ko) 2007-12-07

Family

ID=28671932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047012721A KR100782954B1 (ko) 2002-03-29 2003-03-31 절연막 형성 방법

Country Status (6)

Country Link
US (3) US7446052B2 (ko)
JP (1) JP4001498B2 (ko)
KR (1) KR100782954B1 (ko)
AU (1) AU2003221023A1 (ko)
TW (1) TWI228774B (ko)
WO (1) WO2003083925A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100939125B1 (ko) * 2004-11-04 2010-01-28 도쿄엘렉트론가부시키가이샤 절연막 형성 방법 및 기판 처리 방법
WO2013066015A1 (ko) * 2011-10-31 2013-05-10 주식회사 원익아이피에스 기판처리장치 및 방법

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
JP2005277318A (ja) * 2004-03-26 2005-10-06 Semiconductor Leading Edge Technologies Inc 高誘電体薄膜を備えた半導体装置及びその製造方法
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US20050278335A1 (en) * 2004-05-21 2005-12-15 Bea Systems, Inc. Service oriented architecture with alerts
JP2006186245A (ja) * 2004-12-28 2006-07-13 Tokyo Electron Ltd トンネル酸化膜の窒化処理方法、不揮発性メモリ素子の製造方法および不揮発性メモリ素子、ならびにコンピュータプログラムおよび記録媒体
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
US7214628B2 (en) * 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
JP4509839B2 (ja) * 2005-03-29 2010-07-21 東京エレクトロン株式会社 基板処理方法
JP5073482B2 (ja) * 2005-03-31 2012-11-14 東京エレクトロン株式会社 シリコン酸化膜の製造方法、その制御プログラム、記憶媒体及びプラズマ処理装置
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US7642152B2 (en) * 2005-09-07 2010-01-05 United Microelectronics Corp. Method of fabricating spacers and cleaning method of post-etching and semiconductor device
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
TW200805501A (en) * 2006-05-22 2008-01-16 Tokyo Electron Ltd Method and apparatus for forming silicon oxide film
JP2008028252A (ja) * 2006-07-24 2008-02-07 Toshiba Matsushita Display Technology Co Ltd 半導体層の処理方法、半導体層の処理装置、薄膜トランジスタの製造方法及び薄膜トランジスタの製造装置
WO2008041601A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil d'oxydation par plasma et support de stockage
JP4361078B2 (ja) * 2006-11-20 2009-11-11 東京エレクトロン株式会社 絶縁膜の形成方法
CN101548190A (zh) 2006-12-18 2009-09-30 应用材料股份有限公司 低能量、高剂量砷、磷与硼注入晶片的安全处理
EP2058844A1 (en) 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102460638A (zh) * 2009-06-26 2012-05-16 东京毅力科创株式会社 通过无定形碳(少量添加硅)的含氧掺杂改善氟碳化合物(CFx)膜的粘附性的技术
US8071451B2 (en) * 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP4523994B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP4523995B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
JP5615207B2 (ja) * 2011-03-03 2014-10-29 株式会社東芝 半導体装置の製造方法
JP2011176320A (ja) * 2011-03-07 2011-09-08 Hitachi Kokusai Electric Inc 基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6030455B2 (ja) 2013-01-16 2016-11-24 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN106653675B (zh) * 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5767009A (en) * 1980-10-02 1982-04-23 Semiconductor Energy Lab Co Ltd Formation of film
JPS63170927A (ja) * 1987-01-09 1988-07-14 Nippon Steel Corp シリコン酸化皮膜の形成方法およびその装置
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
JP2925535B2 (ja) * 1997-05-22 1999-07-28 キヤノン株式会社 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
US6171978B1 (en) * 1999-05-27 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of manufacturing capacitor dielectric
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6689284B1 (en) 1999-09-29 2004-02-10 Kabushiki Kaisha Toshiba Surface treating method
JP3817417B2 (ja) * 1999-09-29 2006-09-06 株式会社東芝 表面処理方法
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100368311B1 (ko) 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
US6632729B1 (en) * 2002-06-07 2003-10-14 Advanced Micro Devices, Inc. Laser thermal annealing of high-k gate oxide layers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100939125B1 (ko) * 2004-11-04 2010-01-28 도쿄엘렉트론가부시키가이샤 절연막 형성 방법 및 기판 처리 방법
KR101005953B1 (ko) * 2004-11-04 2011-01-05 도쿄엘렉트론가부시키가이샤 절연막 형성 방법
US7915179B2 (en) 2004-11-04 2011-03-29 Tokyo Electron Limited Insulating film forming method and substrate processing method
WO2013066015A1 (ko) * 2011-10-31 2013-05-10 주식회사 원익아이피에스 기판처리장치 및 방법
US9793476B2 (en) 2011-10-31 2017-10-17 Wonik Ips Co., Ltd. Apparatus and method for treating a substrate

Also Published As

Publication number Publication date
JP4001498B2 (ja) 2007-10-31
TWI228774B (en) 2005-03-01
JP2003297822A (ja) 2003-10-17
US7446052B2 (en) 2008-11-04
TW200401368A (en) 2004-01-16
AU2003221023A1 (en) 2003-10-13
US20080274370A1 (en) 2008-11-06
US7662236B2 (en) 2010-02-16
US20050161434A1 (en) 2005-07-28
KR100782954B1 (ko) 2007-12-07
US20100096707A1 (en) 2010-04-22
WO2003083925A1 (fr) 2003-10-09

Similar Documents

Publication Publication Date Title
KR100782954B1 (ko) 절연막 형성 방법
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4401375B2 (ja) 電子デバイス材料の製造方法
JP4429300B2 (ja) 電子デバイス材料の製造方法
US7759598B2 (en) Substrate treating method and production method for semiconductor device
JP4083000B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151102

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee