TWI691616B - 蝕刻方法及蝕刻裝置 - Google Patents

蝕刻方法及蝕刻裝置 Download PDF

Info

Publication number
TWI691616B
TWI691616B TW105123371A TW105123371A TWI691616B TW I691616 B TWI691616 B TW I691616B TW 105123371 A TW105123371 A TW 105123371A TW 105123371 A TW105123371 A TW 105123371A TW I691616 B TWI691616 B TW I691616B
Authority
TW
Taiwan
Prior art keywords
gas
etching
oxidizing
film
processed
Prior art date
Application number
TW105123371A
Other languages
English (en)
Other versions
TW201716633A (zh
Inventor
林軍
竹谷考司
立花光博
八尾章史
山內邦裕
宮崎達夫
Original Assignee
日商東京威力科創股份有限公司
日商中央玻璃股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司, 日商中央玻璃股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201716633A publication Critical patent/TW201716633A/zh
Application granted granted Critical
Publication of TWI691616B publication Critical patent/TWI691616B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/03Metal processing
    • H05K2203/0315Oxidising metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一種技術,係使用氧化鈷膜的氧化氣體與由β-二酮所構成之蝕刻氣體,在蝕刻被處理體表面之鈷膜時,可防止在被處理體形成碳膜。
將被處理體加熱至250℃以下的溫度,並將由β-二酮所構成之蝕刻氣體及用以氧化該鈷膜之氧化氣體以該氧化氣體流量相對於該蝕刻氣體流量之比例為0.5%~50%來供給至該被處理體。藉此,便可抑制碳膜的形成,並蝕刻該鈷膜。

Description

蝕刻方法及蝕刻裝置
本發明係關於一種鈷膜之蝕刻方法及蝕刻裝置。
作為半導體元件之配線有在Si(矽)上沉積Co(鈷)膜來加熱以形成CoSi2(鈷矽化物)層的情況。此CoSi2層形成後,為形成有該CoSi2層之基板的半導體晶圓(以下,記載為晶圓)會浸泡在例如由鹽酸及過氧化氫所構成之藥液來進行濕蝕刻,以全部去除多餘的Co膜。
然而,在半導體元件之配線微細化進展的現在,便檢討有取代至今作為配線所使用的Cu(銅)而使用Co來做為配線。此理由為在使用Cu來做為配線的情況,為了防止構成該配線之金屬原子擴散至周圍的絕緣膜,會需要在Cu配線周圍形成障蔽膜,但使用Co來做為配線的情況,會因配線本身便具有障蔽膜的功能,而不需要在配線外再另外形成障蔽膜之故。
由於有此般欲形成微細Co配線之背景,故便被要求要能高度地控制Co膜的蝕刻。具體而言,係檢討以將晶圓面內之蝕刻量的差異抑制在1nm以下的方式來蝕刻Co膜,就蝕刻後的Co膜表面來控制粗度,以及選擇性地蝕刻Co膜等。為了進行此般高度的蝕刻控制,上述的濕蝕刻將有所困難,而檢討以氣體來蝕刻Co膜。
例如,專利文獻1係記載有在基板上藉由Co所構成之金屬膜於基板加熱至200℃~400℃的狀態下,將氧氣及β-二酮之六氟乙醯丙酮(Hfac)氣體以氧(O2)氣體相對於Hfac氣體之流量比為1%以下的方式來同時地供給以蝕刻Co膜。O2氣體係氧化Co膜之氧化氣體,Hfac氣體會形成與氧化後的Co膜相比較低蒸氣壓的配位化合物,為去除該Co膜之氧化物的蝕刻氣體。專利文獻2記載有使用Hfac氣體來做蝕刻,以及此時於Hfac氣體亦可添加氧氣。又,專利文獻3記載有將基板表面之銅等金屬污染物和氧化氛圍中的β-二 酮反應來加以去除。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開2015-12243號(段落0030~段落0035)
專利文獻2:日本特開2015-19065號(段落0035、0036)
專利文獻3:日本特許第2519625號(段落0035、0036)
藉由本發明人的驗證,確認到將上述O2氣體與Hfac氣體一同供給至基板來蝕刻處理該基板表面所形成之Co膜的情況,即便為較低溫度,仍能藉由Co及氧化後Co所具有的觸媒效果及O2氣體的作用來讓Hfac分解,而在處理後基板殘留有以碳為主成分之膜(以下,記載為碳膜)。上述專利文獻1中,暗示有將O2氣體與Hfac氣體同時供給至晶圓,此時讓基板加熱至300℃~400℃般較高的溫度亦可。進行此般處理的情況,由於會形成碳膜,故專利文獻1中,便未注意到Hfac氣體會形成碳膜。從而,該專利文獻1便沒有可解決此般形成碳膜的問題者。專利文獻2、3亦未對解決該問題之方法有所記載。
本發明有鑑於此般情事,其目的在於提供一種技術,在使用氧化鈷膜之氧化氣體與由β-二酮所構成的蝕刻氣體來蝕刻被處理體表面之鈷膜時,可防止於被處理體形成有碳膜。
本發明之蝕刻方法係包含有以下工序:將表面形成有鈷膜之被處理體加熱至250℃以下的溫度,並將由β-二酮所構成之蝕刻氣體及用以氧化該鈷膜之氧化氣體以該氧化氣體流量相對於該蝕刻氣體流量之比例為0.5%~50%來供給至該被處理體,以蝕刻該鈷膜之工序。
本發明其他之蝕刻方法係含有以下工序:第1氣體供給工序,係於表面形成有鈷膜的被處理體供給用以氧化該鈷膜之氧化氣體;第2氣體供給工序,係之後供給由β-二酮所構成之蝕刻氣體及用以抑制該蝕刻氣體之分解的分解抑制氣體,來蝕刻氧化後之該鈷膜。
本發明之蝕刻裝置,係含有:載置台,係設置於處理容器內,載置表面形成有鈷膜的被處理體;加熱部,係加熱該載置台所載置之被處理體; 氣體供給部,係供給由β-二酮所構成之蝕刻氣體以及用以氧化該鈷膜之氧化氣體;以及控制部,係為了蝕刻該鈷膜而以將被處理體加熱至250℃以下之溫度,且該氧化氣體流量相對於該蝕刻氣體流量之比例為0.5%~50%來供.給該蝕刻氣體及氧化氣體至該被處理體之方式,來輸出控制訊號。
本發明之蝕刻裝置,係含有:載置台,係設置於處理容器內,載置表面形成有鈷膜的被處理體;氧化氣體供給部,係將用以氧化該鈷膜之氧化氣體供給至該被處理體;蝕刻氣體供給部,係將由β-二酮所構成之蝕刻氣體供給至該被處理體;分解抑制氣體供給部,係將用以抑制該蝕刻氣體之分解的分解抑制氣體供給至該被處理體;以及控制部,係以進行將該氧化氣體供給至該被處理體的第1步驟以及接著將該蝕刻氣體及該分解抑制氣體供給至該被處理體的第2步驟之方式,來輸出控制訊號。
依本發明,在以可抑制因鈷所致蝕刻氣體的分解之方式而讓被處理體溫度在250℃以下的狀態下,得到充分的蝕刻速度來將調整相互流量比例之蝕刻氣體及氧化氣體供給至該被處理體。從而,可抑制蝕刻氣體分解所致碳膜的形成,並蝕刻被處理體表面之鈷膜。
依本發明其他之發明,在鈷膜氧化後,藉由將蝕刻氣體及蝕刻氣體的分解抑制氣體供給至被處理體,便能在抑制蝕刻氣體分解的狀態下進行蝕刻。從而,可抑制蝕刻氣體分解所致之碳膜的形成,並蝕刻被處理體表面的鈷膜。
W‧‧‧晶圓
1‧‧‧蝕刻裝置
10‧‧‧控制部
11‧‧‧處理容器
2‧‧‧台座
22‧‧‧加熱器
3‧‧‧氣體供給部
61‧‧‧Hfac氣體供給源
62‧‧‧O2氣體供給源
64‧‧‧H2氣體供給源
圖1係本發明第1實施形態相關之蝕刻裝置的縱剖側面圖。
圖2係該第1實施形態相關之蝕刻裝置的縱剖側面圖。
圖3係該第1實施形態相關之蝕刻裝置的縱剖側面圖。
圖4係本發明第2實施形態相關之蝕刻裝置的縱剖側面圖。
圖5係該第2實施形態相關之蝕刻裝置的縱剖側面圖。
圖6係該第2實施形態相關之蝕刻裝置的縱剖側面圖。
圖7係該第2實施形態相關之蝕刻裝置的縱剖側面圖。
圖8係顯示氣體之供給狀態及供給停止狀態之時序圖。
圖9係晶圓之縱剖側面圖。
圖10係晶圓之縱剖側面圖。
圖11係晶圓之縱剖側面圖。
圖12係顯示評估試驗結果的圖表。
圖13係顯示評估試驗結果的圖表。
圖14係顯示評估試驗結果的能譜圖。
圖15係顯示評估試驗結果的能譜圖。
圖16係顯示評估試驗結果的能譜圖。
圖17係顯示評估試驗結果的縱剖側面概略圖。
圖18係顯示評估試驗結果的能譜圖。
圖19係顯示評估試驗結果的能譜圖。
圖20係顯示評估試驗結果的能譜圖。
圖21係顯示評估試驗結果的能譜圖。
圖22係顯示評估試驗結果的能譜圖。
圖23係顯示評估試驗結果的能譜圖。
圖24係顯示評估試驗結果的能譜圖。
圖25係顯示評估試驗結果的能譜圖。
圖26係顯示評估試驗結果的圖表。
(第1實施形態)
參照圖1,就實施本發明第1實施形態相關之蝕刻方法的蝕刻裝置1來加以說明。此蝕刻裝置1所處理之被處理體的晶圓W表面會形成用以形成半導體配線之Co膜。蝕刻裝置1係具備橫剖面形狀為概略圓形之真空腔室的處理容器11。圖中12係為了進行晶圓W之收授而開口於處理容器11側面之搬出入口,並藉由閘閥13來加以開閉。又,處理容器11係設有將其內面加熱至既定溫度的未圖示之加熱器。
處理容器11內部係設有為晶圓W載置部之圓柱狀台座2。圖中21係支撐台座2所載置之晶圓W的支撐銷,支撐銷21係以將該晶圓W支撐於從台座2上面浮起例如0.3mm狀態之方式而複數設置於該台座2上面。台座2內部係設有構成加熱部之加熱器22,以將台座2所載置之晶圓W加熱至設定溫度。
圖中23係貫穿台座2及處理容器11底面之貫穿孔,貫穿孔23係設有 以藉由升降機構24來在台座2上面出沒之方式所設置之晶圓W的收授用頂升銷25。圖中26為伸縮管,會覆蓋頂升銷25的下部側,以確保處理容器11的氣密性。圖中14係開口於處理容器11底面之排氣口,連接有排氣管15之一端。排氣管15之另端則依序透過壓力調整閥16、開閉閥17而連接至為真空排氣機構之真空泵18。
以封閉處理容器11上面所形成之開口部的方式,來設置圓形的氣體供給部3。圖中31係構成氣體供給部3之圓形擴散板,會對向於台座2所載置之晶圓W。圖中32係於厚度方向貫穿擴散板31之氣體供給孔,該擴散板31係構成為於縱橫配列有此氣體供給孔32之衝壓板。擴散板31上方係形成有用以在氣體供給部3內將供給至晶圓W的各氣體加以分散的分散室33。圖中34係加熱氣體供給部3之加熱器。
圖中41,42係氣體供給管,並在各下游端開口於分散室33。氣體供給管41上游端係依序透過閥V1,V2、流量調整部51而連接至為β-二酮之六氟乙醯丙酮(Hfac)氣體的供給源61。氣體供給管42上游端係依序透過閥V3,V4、流量調整部52而連接至氧(O2)氣之供給源62。
又,圖中63係氮(N2)氣之供給源,連接有氣體供給管43之上游端。氣體供給管43係朝下游側依序介設有流量調整部53、閥V5,其下游端係分歧為二,並分別連接至氣體供給管41之閥V1,V2之間及氣體供給管42之閥V3,V4之間。O2氣體係用以氧化Co膜之氧化氣體,Hfac係蝕刻氧化後Co膜之蝕刻氣體。N2氣體係用以稀釋Hfac氣體及O2氣體之稀釋氣體。
氣體供給管41之Hfac氣體供給源61與流量調整部51之間係連接有分叉配管44之上游端,分叉配管44下游端係依序透過流量調整部54、閥V6而連接至排氣管15之壓力調整閥16與開閉閥17之間。氣體供給管42之O2氣體供給源62與流量調整部52之間係連接有分叉配管45之上游端,分叉配管45下游端係依序透過流量調整部55、閥V7而連接至排氣管15之壓力調整閥16與開閉閥17之間。分叉配管44,45係在進行後述蝕刻處理時,於不將Hfac氣體及O2氣體供給至處理容器11內時而朝排氣管15供給,將該等Hfac氣體及O2氣體供給至處理容器11時用以穩定各氣體流量之配管。
進一步地,蝕刻裝置1係具備有控制部10。此控制部10係由例如電腦所構成,具備程式、記憶體、CPU。程式係以實施後述作用說明的一連串動 作之方式來組成步驟群,並依照程式來進行晶圓W之溫度調整,各閥之開閉、各氣體流量之調整、處理容器11內之壓力調整等。此程式係收納在電腦記憶媒體,例如光碟、硬碟、磁光碟、記憶卡等而安裝至控制部10。
上述蝕刻裝置1會同時供給氧化氣體之O2氣體及蝕刻氣體之Hfac氣體至晶圓W表面之Co膜。如此般供給有各氣體的Co膜係藉由以下的原理來被加以蝕刻。首先,如下述式1所示,Co膜表面會被氧化。下述CoOx為鈷的氧化物。然後,如下述式2所示,CoOx會與Hfac氣體反應,而產生為配位化合物之固體的Co(Hfac)2。此固體的Co(Hfac)2的蒸氣壓較低,如下述式3所表示,會因晶圓W的熱而昇華,而從該晶圓W來被加以去除。如此般,藉由進行式1~式3的反應,來蝕刻Co膜。
Co+O2→CoOx…式1
CoOx+Hfac→Co(Hfac)2+H2O…式2
Co(Hfac)2(固體)→Co(Hfac)2(氣體)…式3
然而,使用Hfac氣體及O2氣體,藉由進行相同的反應亦可蝕刻非Co膜之其他材料的金屬膜,例如Ni(鎳)所構成之金屬膜。在蝕刻其他金屬膜的情況,供給至晶圓W之Hfac氣體的熱分解溫度為較350℃稍高的溫度。因此,在蝕刻Co膜的情況中,為了有效進行上述式1~式3所表示的反應,以較此熱分解溫度要低的溫度範圍內之較高溫度來處理晶圓會較有效。具體而言,例如將晶圓W加熱至275℃~350℃,來進行上述式1~式3的反應會較有效。
但是,如發明所欲解決之課題項目所述般,本發明人確認到在蝕刻Co膜的情況,如此般地將晶圓W加熱至275℃~350℃,且同時供給Hfac氣體及O2氣體至晶圓W來進行處理時,會在蝕刻處理後之晶圓W表面形成有碳膜。這應該是在Co及CoOx作為觸媒的作用下,即便晶圓W溫度為275℃~350℃的情況,也會因為O2氣體的作用而使得Hfac氣體分解,並因沉積此Hfac氣體所含有之碳所加以形成。上述蝕刻裝置1係以抑制此般Hfac氣體之分解的方式,進行上述式1~式3所示的反應來進行Co膜的蝕刻。
接著,參照圖2、圖3,就蝕刻裝置1之動作來加以說明。圖2、圖3中,關於各配管,係將流通有氣體的位置以較停止流通氣體的位置要粗的線來加以表示。首先,將晶圓W載置於台座2,藉由加熱器22加熱至例如 200℃~250℃。然後,將處理容器11排氣,在該處理容器11內形成真空氛圍,並開啟閥V1,V3,V5,將N2氣體供給至處理容器11。並行於此N2氣體的供給,開啟閥V6,V7,將Hfac氣體及O2氣體供給至排氣管15。圖2係表示此般各氣體的供給狀態。
接著,關閉閥V6,V7,並開啟閥V2,V4,如圖3所示,於處理容器11將Hfac氣體及O2氣體供給至晶圓W。如此般在將Hfac氣體及O2氣體供給至晶圓W時,處理容器11內之壓力為例如10Pa~100Pa,且氧化氣體之O2氣體流量相對於蝕刻氣體之Hfac氣體流量為0.5%~50%。
藉由被供給至晶圓W之O2氣體及Hfac氣體,便會如上述式1、式2所說明般在Co表面引發配位化合物的形成,並如式3所說明般因晶圓W的熱而讓該配位化合物昇華以蝕刻該Co膜表面。如此般在進行蝕刻期間,晶圓W溫度會成為上述較低溫度,以抑制被供給至晶圓W的Hfac氣體的分解。又,即便如此般讓晶圓W溫度較低,由於O2氣體流量相對於Hfac氣體流量係如上述般設定,故會迅速地進行Co膜的蝕刻。藉由如此般設定Hfac氣體及O2氣體的流量,便能獲得充分蝕刻速度乃係藉由本發明人之實驗而獲得確認。
當Co膜表面被蝕刻所欲量時,便關閉閥V2,V4,並開啟閥V6,V7,如圖2所示,停止對處理容器11供給Hfac氣體及O2氣體,藉由被供給至處理容器11之N2氣體來吹淨處理容器11內所殘留的Hfac氣體及O2氣體,並結束Co膜的蝕刻處理。
此蝕刻處理裝置1之處理中,係如上述般設定晶圓W溫度並如上述般設定供給至晶圓W的Hfac氣體及O2氣體的流量比,可以抑制Hfac氣體的分解而防止碳膜的形成,並抑制蝕刻速度降低之方式來進行Co膜的蝕刻。
然而,為了將Co氧化而生成CoOx所使用的氧化氣體並不限於O2氣體。例如,可取代O2氣體而使用NO(一氧化氮)氣體,亦可使用O3(臭氧)氣體。藉由使用O3氣體或NO氣體,本發明人確認到能獲得較使用O2氣體的情況更高的蝕刻速度。即便是使用O3氣體或NO氣體來做為氧化氣體的情況,該等氧化氣體之流量相對於Hfac氣體之流量仍是以成為上述比例之方式來進行處理。
(第2實施形態)
接著,就實施本發明第2實施形態相關之蝕刻方法的蝕刻裝置7,以和蝕刻裝置1的差異點為中心,參照圖4來加以說明。此蝕刻裝置7係設置有下游端開口於分散室33之氣體供給管46,氣體供給管46的上游端係依序透過閥V8,V9、流量調整部56而連接至用以抑制Hfac氣體分解之氫(H2)氣的供給源64。
又,氣體供給管46之H2氣體供給源64與流量調整部56之間係連接有分叉配管47之上游端,分叉配管47之下游端係依序透過流量調整部57、閥V10而連接至排氣管15之壓力調整閥16與開閉閥17之間。分叉配管47與分叉配管44,45同樣地,係在未供給H2氣體至處理容器11時,會藉由朝排氣管15供給,而在將H2氣體供給至處理容器11時用以穩定該H2氣體流量之配管。又,上游端連接至N2氣體供給源之氣體供給管43的下游端係分歧為三,其中兩者係與蝕刻裝置1同樣地連接至氣體供給管41,42,剩下一者係連接至氣體供給管46中的閥V8,V9之間。
接著,參照與圖2及圖3同樣地表示各配管之氣體流動的圖5~圖7來說明蝕刻裝置7的動作。又,圖8係就各Hfac氣體、H2氣體來表示進行對晶圓W之供給狀態(圖中記載為ON)與停止供給狀態(圖中記載為OFF)之切換的時序圖,並適宜地參照此圖。進一步地,圖9~圖11係晶圓W的縱剖側面圖,並適宜地參照該等圖9~圖11。圖9係蝕刻裝置7處理前之晶圓W,圖中的71係晶圓W表面所形成的Co膜。
首先,將上述圖9所示之晶圓W載置於台座2,藉由加熱器22加熱至例如250℃以上且較Hfac氣體之分解溫度要低的溫度,具體而言,係例如250℃~350℃。然後,將處理容器11排氣,來讓該處理容器11內形成真空氛圍,並開啟閥V1,V3,V5,V8,將N2氣體供給至處理容器11。並行於此N2氣體的供給,而開啟閥V6,V7,V10,將Hfac氣體、O2氣體及H2氣體供給至排氣管15。圖5係顯示如此般供給有各氣體之狀態。
接著,關閉閥V7並開啟閥V4,在例如處理容器11內壓力為10Pa~100Pa的狀態下,如圖6所示般在處理容器11將O2氣體供給至晶圓W來做為步驟S1(圖中,時刻t1)。藉此,便會如上述式1所說明般,Co膜表面會被氧化而形成有CoOx的層72(圖10)。
然後,關閉閥V4,V6,V10,並開啟閥V2,V7,V9,在例如讓處理容器11 內的壓力為10Pa~100Pa的狀態下,如圖7所示在處理容器11將Hfac氣體及H2氣體供給至晶圓W(圖中,時刻t2)來做為步驟S2,藉由該等氣體來吹淨處理容器11內的O2氣體。如此般停止對處理容器11內之O2氣體的供給而讓該處理容器11內之O2氣體濃度下降的狀態下,與為分解抑制氣體的H2氣體一同地供給Hfac氣體,便能抑制該Hfac氣體的分解。從而,便會抑制碳層的形成,並引發上述式2、式3所說明的配位化合物之形成及該配位化合物的昇華,以去除Co膜表面的CoOx之層72(圖11)。
之後,關閉閥V2,V7,V9,並開啟閥V4,V6,V10,停止對處理容器11之Hfac氣體及H2氣體的供給,並再度在處理容器11將O2氣體供給至晶圓W,以進行上述步驟S1(時刻t3)。亦即,Co膜的表面會被氧化而形成CoOx的層72。然後,關閉閥V4,V6,V10並開啟閥V2,V7,V8,停止對處理容器11之O2氣體的供給,並再度在處理容器11將Hfac氣體及H2氣體供給至晶圓W,以進行上述步驟S2。亦即,形成配位化合物而去除CoOx之層72(時刻t4)。
以既定次數重複步驟S1,S2,來蝕刻所欲量的Co膜表面時,會從進行步驟S2的狀態而成為開啟閥V6,V10並關閉閥V2,V9之圖5所示在處理容器11僅供給N2氣體的狀態。藉由該N2氣體來吹淨處理容器11所殘留之Hfac氣體及H2氣體,並結束Co膜的蝕刻處理。
依此蝕刻裝置7,係將O2氣體供給至於表面形成有Co膜的晶圓W後來供給Hfac氣體及H2氣體,藉此,便會抑制Co及CoOx之觸媒效果所致之Hfac氣體因O2氣體的分解,可防止於晶圓W形成碳膜,並進行Co膜的蝕刻。
上述範例中,雖係與Hfac氣體一同地將作為分解抑制氣體的H2氣體供給至晶圓W,但只要是對Hfac氣體具有還原性的氣體,由於便可抑制Hfac氣體的氧化來抑制分解,故亦可使用H2以外而具有此般還原性之氣體來做為分解抑制氣體。例如,可使用NH3(氨)氣體、H2S(硫化氫)氣體等氣體來做為分解抑制氣體。又,步驟S1,S2不限於重複進行複數次,在Co膜的蝕刻量較小的情況,亦可分別僅進行1次步驟S1,S2。又,O2氣體的停止供給及Hfac氣體與H2氣體的開始供給不限於同時。亦可在O2氣體停止供給後,藉由N2氣體來吹淨處理容器11內所殘留的O2氣體後,再供給Hfac氣體及H2氣體。
第1實施形態及第2實施形態中,蝕刻上述Co的氣體只要是能如上述式1~式3所示般與CoOx反應來形成蒸氣壓較CoOx要低的配位化合物之β-二酮的氣體即可,可例如取代Hfac(亦稱為1,1,1,5,5,5-六氟-2,4-戊二酮)氣體而使用三氟乙醯丙酮(亦稱為1,1,1-三氟-2’4,-戊二酮)、乙醯丙酮等之氣體。
評估試驗
以下,便就本發明相關所進行的評估試驗來加以說明。
(評估試驗1)
評估試驗1-1係就表面形成有Co膜之複數晶圓W,以上述第1實施形態所說明之方式,供給各氣體來進行Co膜的蝕刻處理。但是,供給Hfac氣體及H2氣體時之各晶圓W溫度則分別為250℃、275℃、300℃。然後,蝕刻結束後,測定晶圓W表面之碳膜的膜厚。
評估試驗1-2與評估試驗1-1同樣地,係在供給Hfac氣體及H2氣體時,將複數各晶圓W之溫度分別設定為250℃、275℃、300℃來進行蝕刻處理,並在處理後,測定各晶圓W表面的碳膜膜厚。但是,此評估試驗1-2中,晶圓W表面係取代Co膜而形成有其他種類的金屬膜,例如Ni膜,藉由Hfac氣體及O2氣體來蝕刻該其他種類的金屬膜。
圖12係顯示評估試驗1結果的圖表。圖表的橫軸為H2氣體及O2氣體供給時之晶圓W溫度(單位:℃),圖表的縱軸係碳膜的膜厚(單位:nm)。評估試驗1-2中,晶圓W溫度即便為250℃、275℃、300℃任一者,碳膜的膜厚仍為0nm。亦即,未確認到有碳膜的形成。但是,評估試驗1-1中,在晶圓W溫度為250℃的情況,碳膜膜厚為0nm,但在275℃的情況約形成有5nm,在300℃的情況則形成有約50nm。另外,如此般在評估試驗1-1所形成之碳膜在晶圓W面內之差異會較大。
本發明人藉由此評估試驗1的結果,得到如所述般在蝕刻Co膜時,會因Co及CoOx具有觸媒效果而讓Hfac氣體的分解溫度較蝕刻Co以外材料之膜的情況之Hfac氣體的分解溫度要低。又,如上述般在評估試驗1-1於晶圓W溫度為250℃的情況,並不會形成有碳膜,而確認到第1實施形態的效果。
(評估試驗2)
評估試驗2-1係與第1實施形態同樣地同時對晶圓W提供Hfac氣體及 O2氣體來進行晶圓W表面之Co膜的蝕刻。此評估試驗2-1中,在Hfac氣體及O2氣體供給時之處理容器11內的壓力係設定在20Torr(2.67×103Pa)。又,蝕刻處理係對複數片晶圓W來進行,以成為相互不同溫度之方式來加熱各晶圓W以進行處理。蝕刻處理後,測定各晶圓W之Co膜的蝕刻率(單位:nm/分鐘)。又,評估試驗2-2係與評估試驗2-1略同樣地來處理晶圓W,以測定Co膜的蝕刻率。評估試驗2-2中,與評估試驗2-1不同地是將Hfac氣體及O2氣體供給時之處理容器11內的壓力設定在100Torr(1.33×104Pa)。
圖13之半對數圖係顯示此評估試驗2的結果,圖表之橫軸為Hfac氣體及O2氣體供給時之晶圓W溫度(單位:℃),圖表之縱軸係蝕刻率(單位:nm/分鐘)。如此圖表所示,評估試驗2-1,2-2各自在250℃~330℃的範圍下,晶圓W溫度越高,則蝕刻率越高。從而,得知蝕刻率有溫度依存性。又,評估試驗2-1,2-2在晶圓W溫度相同的情況,評估試驗2-2的蝕刻率會較高。藉由阿瑞尼氏圖來求得用以進行產生上述式2之Co(Hfac)2的反應之活化能時,在處理容器11內壓力為20Torr的情況為1.39eV,在100Torr的情況為0.63eV,評估試驗2-2會較評估試驗2-1要容易讓式2之反應進行,而容易形成配位化合物。
如此評估試驗2所示,得知藉由調整Hfac氣體及H2氣體供給時之晶圓W周圍壓力,便可控制蝕刻率。從而,即便晶圓W之溫度較低,推測應該也能以實用上充分的速度來進行晶圓W的蝕刻。另外,發明人藉由實驗,推測為了進行用以形成上述配位化合物之式2反應所需要的活化能也能藉由調整該氧化氣體流量相對於蝕刻氣體流量之比例來加以改變。
(評估試驗3)
評估試驗3係就晶圓W所形成之Co膜表面,藉由X射線電子能譜法(XPS)來取得C1s之能譜。然後,就此晶圓W與第1實施形態同樣地同時供給Hfac氣體及O2氣體,以進行晶圓W表面之Co膜的蝕刻處理。但是,供給該等氣體時之晶圓W溫度與第1實施形態所示之溫度有所不同而為300℃。蝕刻處理後,藉由XPS就晶圓W表面之C1s來取得能譜。
圖14、圖15的圖表係分別顯示蝕刻前,蝕刻後所取得之能譜。圖表之橫軸數值係顯示元素鍵結能(單位:eV),縱軸的數值係顯示所檢出之光電子的強度。由圖14之蝕刻前的能譜,確認到碳原子與碳原子之雙重鍵結、碳 原子與碳原子之單鍵、以及碳原子與氧原子的單鍵存在,但從蝕刻後的能譜,顯示出除了該等鍵結外,尚存在有-COO-及-OCOO-所構成之基。又,從蝕刻後所取得之能譜,確認到C原子濃度為90%以上,且並未檢出Co。從藉由此XPS所取得之能譜,推測因蝕刻使得晶圓W表面狀態會改變,而形成碳層。
就取得圖15之能譜後的蝕刻後晶圓W表面,藉由進行拉曼光譜法來取得圖16所示之能譜。圖16之能譜的橫軸係表示拉曼位移(單位:cm-1),縱軸係表示強度。此圖16之能譜中,在1600cm-1附近的G-band、1340cm-1附近的D-band確認到推測為分別來自碳之峰值。G-band的峰值係暗示結晶碳的存在,D-band的峰值係暗示非晶碳的存在,故此晶圓W表面中,有形成在非晶碳中混入結晶碳之碳層的可能性。如此般,藉由拉曼光譜法亦暗示出碳層的存在。
就取得圖16之能譜後的蝕刻後晶圓W表面,藉由穿透式電子顯微鏡(TEM)來進行攝影。圖17係所取得之圖像的概略圖,係顯示晶圓W之縱剖側面。以SiO2膜、TiN膜之順序朝上方來層積各膜,蝕刻前,TiN膜上係形成有膜厚100nm之Co膜。由影像可確認到此Co膜已完全被去除,以及TiN膜上形成有膜厚70nm之碳膜。由此般評估試驗2,顯示出讓晶圓W為300℃,將Hfac氣體及O2氣體同時供給至該晶圓W,便會形成有碳膜(碳層)。本發明人係在得到此見解後而完成本發明。
(評估試驗4)
評估試驗4係就晶圓W所形成之Co膜表面,以與的1實施形態同樣地同時供給Hfac氣體及O2氣體,來進行複數晶圓W表面之Co膜的蝕刻處理。但是,供給該等氣體時之晶圓W溫度係依各晶圓W而設定為不同。將加熱至200℃以上,未達250℃之試驗為評估試驗4-1,將加熱至250℃之試驗為評估試驗4-2,將加熱至275℃之試驗為評估試驗4-3,將加熱至300℃之試驗為評估試驗4-4。蝕刻處理後,就各評估試驗4-1~4-4之晶圓W表面藉由XPS來取得能譜。
圖18及圖19、圖20及圖21、圖22及圖23、圖24及圖25係分別顯示由評估試驗4-1、4-2、4-3、4-4所取得之能譜的圖表。與圖14、圖15同樣地,圖表的橫軸、縱軸係分別顯示鍵結能(單位:eV)、所檢出之光電子強度。 圖18、圖20、圖22及圖24係顯示770eV~810eV之能譜,圖19、圖21、圖23及圖25係顯示275eV附近~295eV附近之能譜。圖18、圖20中,表示Co2p之峰值出現在778eV附近及795eV附近。又,圖19、圖21中,表示C1s之峰值出現在285eV附近,但該峰值較小。圖22、圖24中,表示Co2p之峰值未在778eV及795eV附近被觀察到。又,圖23、圖25中,雖285eV附近顯示出C1s峰值,但較圖19、圖21的峰值出現的要大。
由此結果,評估試驗4-1、4-2中,雖未在晶圓W表面形成有碳膜,而為Co膜露出之狀態,但評估試驗4-3、4-4中,推測係以覆蓋Co膜之方式而形成有碳膜。亦即,得知同時供給Hfac氣體及O2氣體時,讓晶圓W為275℃以上,便會形成有碳膜。又,由評估試驗4-1、4-2未形成有碳膜,確認到第1實施形態的效果。
(評估試驗5)
評估試驗5係藉由第1實施形態所說明之方法來對複數晶圓W之Co膜進行蝕刻處理。但是,此評估試驗5之蝕刻處理中,係依各晶圓W來進行使用相互不同之用以讓Co膜氧化之氧化氣體的處理。氧化氣體係分別使用O3氣體、O2氣體、NO氣體、N2O(氧化亞氮)氣體、H2O2(過氧化氫)氣體。圖26係顯示評估試驗5的結果之圖表。縱軸係顯示既定時間中1次蝕刻處理時的Co蝕刻量(記載為nm/cycle),亦即蝕刻速度。如圖表所示上述氧化氣體中,確認到在使用任何氧化氣體的情況都能進行蝕刻。由圖表可知,使用O3氣體的情況及使用NO氣體的情況,蝕刻量會較使用O2氣體的情況要大。從而,從此評估試驗5確認到使用該等O3氣體或NO氣體來作為氧化氣體會特別有效。
1‧‧‧蝕刻裝置
11‧‧‧處理容器
15‧‧‧排氣管
2‧‧‧台座
W‧‧‧晶圓
V1~V7‧‧‧閥

Claims (6)

  1. 一種蝕刻方法,係含有以下工序:第1氣體供給工序,係於表面形成有鈷膜的被處理體供給用以氧化該鈷膜之氧化氣體;第2氣體供給工序,係之後供給由β-二酮所構成之蝕刻氣體及用以抑制該蝕刻氣體之分解的分解抑制氣體,來蝕刻氧化後之該鈷膜;該分解抑制氣體為選自氫氣、氨氣、硫化氫氣體之一種氣體。
  2. 如申請專利範圍第1項之蝕刻方法,其係含有重複進行由該第1氣體供給工序及該第2氣體供給工序所構成之循環的工序。
  3. 如申請專利範圍第1或2項之蝕刻方法,其中該第2氣體供給工序係含有將該被處理體加熱至250℃以上,且較該蝕刻氣體之分解溫度要低的溫度之工序。
  4. 一種蝕刻方法,係包含有以下工序:將表面形成有鈷膜之被處理體加熱至250℃以下的溫度,並將由β-二酮所構成之蝕刻氣體以及用以氧化該鈷膜之氧化氣體以該氧化氣體流量相對於該蝕刻氣體流量之比例為0.5%~50%來供給至該被處理體,以蝕刻該鈷膜之工序;該氧化氣體為一氧化氮氣體或臭氧氣體。
  5. 一種蝕刻裝置,係含有:載置台,係設置於處理容器內,載置表面形成有鈷膜的被處理體;氧化氣體供給部,係將用以氧化該鈷膜之氧化氣體供給至該被處理體;蝕刻氣體供給部,係將由β-二酮所構成之蝕刻氣體供給至該被處理體;分解抑制氣體供給部,係將用以抑制該蝕刻氣體之分解的分解抑制氣體供給至該被處理體;以及控制部,係以進行將該氧化氣體供給至該被處理體的第1步驟以及接著將該蝕刻氣體及該分解抑制氣體供給至該被處理體的第2步驟之方式,來輸出控制訊號;該分解抑制氣體為選自氫氣、氨氣、硫化氫氣體之一種氣體。
  6. 一種蝕刻裝置,係含有:載置台,係設置於處理容器內,載置表面形成有鈷膜的被處理體;加熱部,係加熱該載置台所載置之被處理體;氣體供給部,係供給由β-二酮所構成之蝕刻氣體以及用以氧化該鈷膜之氧化氣體;以及控制部,係為了蝕刻該鈷膜而以將被處理體加熱至250℃以下之溫度,且該氧化氣體流量相對於該蝕刻氣體流量之比例為0.5%~50%來供給該蝕刻氣體及氧化氣體至該被處理體之方式,來輸出控制訊號;該氧化氣體為一氧化氮氣體或臭氧氣體。
TW105123371A 2015-07-27 2016-07-25 蝕刻方法及蝕刻裝置 TWI691616B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015147846A JP6529371B2 (ja) 2015-07-27 2015-07-27 エッチング方法及びエッチング装置
JP2015-147846 2015-07-27

Publications (2)

Publication Number Publication Date
TW201716633A TW201716633A (zh) 2017-05-16
TWI691616B true TWI691616B (zh) 2020-04-21

Family

ID=57882889

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123371A TWI691616B (zh) 2015-07-27 2016-07-25 蝕刻方法及蝕刻裝置

Country Status (5)

Country Link
US (1) US9991138B2 (zh)
JP (1) JP6529371B2 (zh)
KR (1) KR101898329B1 (zh)
CN (1) CN106409656B (zh)
TW (1) TWI691616B (zh)

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018128078A1 (ja) * 2017-01-04 2018-07-12 セントラル硝子株式会社 ドライエッチング方法及びエッチング装置
WO2018128079A1 (ja) 2017-01-04 2018-07-12 セントラル硝子株式会社 ドライエッチング方法及びβ-ジケトン充填済み容器
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102244395B1 (ko) * 2018-03-30 2021-04-23 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7063117B2 (ja) * 2018-03-30 2022-05-09 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102445181B1 (ko) * 2019-02-01 2022-09-20 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
JP6905149B2 (ja) 2019-02-14 2021-07-21 株式会社日立ハイテク 半導体製造装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
CN110545624B (zh) * 2019-10-12 2021-02-12 中山市宝悦嘉电子有限公司 一种线路板蚀刻用蚀刻机上盖装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
WO2021192210A1 (ja) * 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
WO2022080288A1 (ja) * 2020-10-16 2022-04-21 セントラル硝子株式会社 ウェットエッチング方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201445634A (zh) * 2013-05-31 2014-12-01 Central Glass Co Ltd 乾式蝕刻方法、乾式蝕刻裝置、金屬膜及具備其之設備

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0180242B1 (en) * 1984-11-02 1991-01-23 Teijin Limited Magnetic recording medium, method for producing the same, and method of recording and reproduction using the same and magnetic head
US5094701A (en) 1990-03-30 1992-03-10 Air Products And Chemicals, Inc. Cleaning agents comprising beta-diketone and beta-ketoimine ligands and a process for using the same
JP2697602B2 (ja) * 1993-06-24 1998-01-14 日本電気株式会社 半導体装置の製造方法
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
JP2000138202A (ja) * 1998-10-30 2000-05-16 Nec Corp 半導体装置の製造方法及び製造装置
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
JP2004091829A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd エッチング方法及びエッチング装置
JP4706260B2 (ja) * 2004-02-25 2011-06-22 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
JP5259125B2 (ja) * 2006-08-24 2013-08-07 富士通セミコンダクター株式会社 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
JP2010083700A (ja) * 2008-09-30 2010-04-15 Dainippon Printing Co Ltd コバルト酸化物膜を有する積層体
JP5811540B2 (ja) * 2011-01-25 2015-11-11 東京エレクトロン株式会社 金属膜の加工方法及び加工装置
KR101665829B1 (ko) * 2011-11-18 2016-10-12 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 비정질 도전성 산화물 막의 형성 방법
JP6041709B2 (ja) * 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
JP2015012243A (ja) * 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9721802B2 (en) * 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6199250B2 (ja) * 2014-07-25 2017-09-20 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201445634A (zh) * 2013-05-31 2014-12-01 Central Glass Co Ltd 乾式蝕刻方法、乾式蝕刻裝置、金屬膜及具備其之設備

Also Published As

Publication number Publication date
JP6529371B2 (ja) 2019-06-12
CN106409656A (zh) 2017-02-15
JP2017028198A (ja) 2017-02-02
KR20170013169A (ko) 2017-02-06
KR101898329B1 (ko) 2018-09-12
CN106409656B (zh) 2019-06-25
US9991138B2 (en) 2018-06-05
US20170032990A1 (en) 2017-02-02
TW201716633A (zh) 2017-05-16

Similar Documents

Publication Publication Date Title
TWI691616B (zh) 蝕刻方法及蝕刻裝置
TWI746728B (zh) 半導體處理裝置
JP4866402B2 (ja) 化学蒸着方法
WO2017134930A1 (ja) エッチング方法及びエッチング装置
WO2020179449A1 (ja) ドライエッチング方法、半導体デバイスの製造方法及びエッチング装置
US20170011910A1 (en) Reactive curing process for semiconductor substrates
TWI725194B (zh) 蝕刻方法及蝕刻裝置
KR102651431B1 (ko) 성막 방법 및 성막 장치
JP6854844B2 (ja) エッチング方法及びエッチング装置
WO2017010194A1 (ja) 自然酸化膜除去方法及び自然酸化膜除去装置
TWI838472B (zh) 乾式蝕刻方法、半導體裝置之製造方法及蝕刻裝置
CN113348532A (zh) 金属硅化物的选择性沉积和选择性氧化物移除
TWI782197B (zh) 蝕刻方法及蝕刻裝置
JP4765055B2 (ja) 銅表面の処理方法
JP5934609B2 (ja) 金属膜の成膜方法
US10998199B2 (en) Etching method and etching apparatus
Murota et al. Atomic-order thermal nitridation of Si, Si1-xGex and Ge by NH3
JP6925430B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
Murota (Invited, Digital Presentation) Langmuir-Type Formulation for Atomic-Order Surface Reactions of Reactant Gases on Si (100) and Ge (100) Surfaces
JP2022052942A (ja) 成膜方法及び処理装置
TW202303722A (zh) 表面處理方法、乾式蝕刻方法、清潔方法、半導體裝置之製造方法及蝕刻裝置
Sato et al. In situ vapor phase surface activation of SiO 2
JP2014022443A (ja) 酸化膜形成方法および酸化膜形成装置。