KR101898329B1 - 에칭 방법 및 에칭 장치 - Google Patents

에칭 방법 및 에칭 장치 Download PDF

Info

Publication number
KR101898329B1
KR101898329B1 KR1020160093555A KR20160093555A KR101898329B1 KR 101898329 B1 KR101898329 B1 KR 101898329B1 KR 1020160093555 A KR1020160093555 A KR 1020160093555A KR 20160093555 A KR20160093555 A KR 20160093555A KR 101898329 B1 KR101898329 B1 KR 101898329B1
Authority
KR
South Korea
Prior art keywords
gas
etching
film
oxidizing
wafer
Prior art date
Application number
KR1020160093555A
Other languages
English (en)
Other versions
KR20170013169A (ko
Inventor
준 린
고지 다케야
미츠히로 다치바나
아키후미 야오
구니히로 야마우치
다츠오 미야자키
Original Assignee
도쿄엘렉트론가부시키가이샤
샌트랄 글래스 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 샌트랄 글래스 컴퍼니 리미티드 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170013169A publication Critical patent/KR20170013169A/ko
Application granted granted Critical
Publication of KR101898329B1 publication Critical patent/KR101898329B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/03Metal processing
    • H05K2203/0315Oxidising metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

코발트막을 산화하는 산화 가스와 β-디케톤으로 이루어지는 에칭 가스를 이용하여 피처리체 표면의 코발트막을 에칭할 때, 피처리체에 카본막이 형성되는 것을 방지할 수 있는 기술을 제공한다. 피처리체를 250℃ 이하의 온도로 가열하면서 β-디케톤으로 이루어지는 에칭 가스와, 상기 코발트막을 산화하기 위한 산화 가스를, 상기 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율이 0.5% 내지 50%가 되도록 상기 피처리체에 공급한다. 이에 의해, 카본막의 형성을 억제하면서, 상기 코발트막을 에칭할 수 있다.

Description

에칭 방법 및 에칭 장치{ETCHING METHOD AND ETCHING APPARATUS}
본 발명은 코발트막의 에칭 방법 및 에칭 장치에 관한 것이다.
반도체 디바이스의 배선으로서 Si(실리콘)의 위에 Co(코발트)막을 퇴적시키고 가열함으로써 CoSi2(코발트 실리사이드)층을 형성하는 경우가 있다. 이 CoSi2층의 형성 후, 해당 CoSi2층이 형성된 기판인 반도체 웨이퍼(이하, 웨이퍼라 기재함)는, 예컨대 염산 및 과산화수소로 이루어지는 약액에 침지되고 웨트 에칭이 실행되어, 여분의 Co막이 모두 제거되고 있었다.
그런데, 반도체 디바이스의 배선의 미세화가 진행된 현상에서는, 지금까지 배선으로 이용되고 있던 Cu(구리) 대신에 Co를 배선으로 이용하는 것이 검토되고 있다. 그 이유로서는, Cu를 배선으로 이용한 경우는 해당 배선을 구성하는 금속 원자가 주위의 절연막에 확산되는 것을 방지하기 위해서, Cu 배선의 주위에 배리어막을 형성할 필요가 있지만, Co를 배선으로 이용한 경우는 배선 자체가 배리어막으로서의 기능을 갖는 것에 의해, 배선과는 별도 배리어막을 형성하는 것이 불필요하게 되기 때문이다.
이와 같이 미세한 Co의 배선을 형성하고자 하는 배경에서, Co막의 에칭을 고도로 제어하는 것이 요구되고 있다. 구체적으로는, 웨이퍼의 면 내에서 에칭량의 편차가 1㎚ 이하로 억제되도록 Co막을 에칭하는 것, 에칭 후의 Co막의 표면에 대한 러프니스(roughness)에 대해 제어하는 것, Co막을 선택적으로 에칭하는 것 등에 대해 검토되고 있다. 이러한 고도의 에칭 제어를 실행하기 위해서는, 상기의 웨트 에칭으로는 곤란하며, 가스에 의해 Co막을 에칭하는 것이 검토되고 있다.
예컨대 특허문헌 1에는, 기판 상의 Co에 의해 구성된 금속막을, 기판을 200℃ 내지 400℃로 가열한 상태에서, 산소 가스와 β-디케톤인 헥사플루오로아세틸아세톤(Hfac) 가스를, Hfac 가스에 대한 산소(O2) 가스의 유량비가 1% 이하가 되도록 동시에 공급함으로써 Co막을 에칭하는 것이 기재되어 있다. O2 가스는 Co막을 산화하는 산화 가스이며, Hfac 가스는 산화된 Co막과 비교적 증기압이 낮은 착체를 형성함으로써, 해당 Co막의 산화물을 제거하는 에칭 가스이다. 특허문헌 2에는 Co를 Hfac 가스를 이용하여 에칭하는 것, 및 그때에는 Hfac 가스에 산소 가스를 첨가해도 좋은 것이 기재되어 있다. 또한, 특허문헌 3에는 기판 표면의 구리 등의 금속 오염물을 산화 분위기 중의 β-디케톤과 반응시킴으로써 제거하는 것이 기재되어 있다.
일본 특허 공개 제 2015-12243 호(단락 0030 내지 단락 0035) 일본 특허 공개 제 2015-19065 호(단락 0035, 0036) 일본 특허 제 2519625 호(단락 0035, 0036)
본 발명자의 검증에 의해, 상기의 O2 가스와 Hfac 가스를 함께 기판에 공급하여 해당 기판의 표면에 형성된 Co막을 에칭 처리하는 경우, 비교적 낮은 온도에서도 Co 및 산화된 Co가 갖는 촉매 효과와 O2 가스의 작용에 의해 Hfac가 분해되고, 처리 후의 기판에는 탄소를 주성분으로 하는 막(이하, 카본막이라 기재함)이 잔류하는 것이 확인되었다. 상기의 특허문헌 1에서는, O2 가스와 Hfac 가스를 웨이퍼에 동시에 공급하고, 그때에 기판을 300℃ 내지 400℃와 같은 비교적 높은 온도로 가열해도 좋은 것이 시사되어 있다. 이와 같이 처리를 실행한 경우는 카본막이 형성되어 버리므로, 특허문헌 1에서는 Hfac 가스가 카본막을 형성해버리는 것에 착안하고 있지 않다. 따라서, 해당 특허문헌 1은 이와 같이 카본막이 형성되어 버리는 문제를 해결할 수 있는 것은 아니다. 특허문헌 2 및 3에 대해서도, 해당 문제를 해결할 방법에 대해서는 기재되어 있지 않다.
본 발명은 이러한 사정하에 이루어진 것으로서, 그 목적은, 코발트막을 산화하는 산화 가스와 β-디케톤으로 이루어지는 에칭 가스를 이용하여 피처리체 표면의 코발트막을 에칭할 때에, 피처리체에 카본막이 형성되는 것을 방지할 수 있는 기술을 제공하는 것이다.
본 발명의 에칭 방법은, 표면에 코발트막이 형성된 피처리체를 250℃ 이하의 온도로 가열하면서 β-디케톤으로 이루어지는 에칭 가스와, 상기 코발트막을 산화하기 위한 산화 가스를, 상기 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율이 0.5% 내지 50%가 되도록 상기 피처리체에 공급하여, 상기 코발트막을 에칭하는 공정을 포함하는 것을 특징으로 한다.
본 발명의 다른 에칭 방법은, 표면에 코발트막이 형성된 피처리체에, 상기 코발트막을 산화하기 위한 산화 가스를 공급하는 제 1 가스 공급 공정과,
그 후, β-디케톤으로 이루어지는 에칭 가스와, 해당 에칭 가스의 분해를 억제하기 위한 분해 억제 가스를 공급하여, 산화된 상기 코발트막을 에칭하는 제 2 가스 공급 공정을 포함하는 것을 특징으로 한다.
본 발명의 에칭 장치는, 처리 용기 내에 마련되며, 표면에 코발트막이 형성된 피처리체를 탑재하는 탑재대와,
상기 탑재대에 탑재된 피처리체를 가열하는 가열부와,
β-디케톤으로 이루어지는 에칭 가스와, 상기 코발트막을 산화하기 위한 산화 가스를 공급하는 가스 공급부와,
상기 코발트막을 에칭하기 위해서 피처리체를 250℃ 이하의 온도로 가열하면서 상기 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율이 0.5% 내지 50%가 되도록 해당 에칭 가스 및 산화 가스를 상기 피처리체에 공급하도록 제어 신호를 출력하는 제어부를 포함하는 것을 특징으로 한다.
본 발명의 에칭 장치는, 처리 용기 내에 마련되며, 표면에 코발트막이 형성된 피처리체를 탑재하는 탑재대와,
상기 코발트막을 산화하기 위한 산화 가스를 상기 피처리체에 공급하는 산화 가스 공급부와,
β-디케톤으로 이루어지는 에칭 가스를 상기 피처리체에 공급하는 에칭 가스 공급부와,
해당 에칭 가스의 분해를 억제하기 위한 분해 억제 가스를 상기 피처리체에 공급하는 분해 억제 가스 공급부와,
상기 산화 가스를 상기 피처리체에 공급하는 제 1 단계와, 이어서 상기 에칭 가스 및 상기 분해 억제 가스를 피처리체에 공급하는 제 2 단계를 실행하도록 제어 신호를 출력하는 제어부를 포함하는 것을 특징으로 한다.
본 발명에 의하면, 코발트에 의한 에칭 가스의 분해가 억제되도록 피처리체의 온도가 250℃ 이하로 된 상태에서, 충분한 에칭 속도를 얻을 수 있도록 서로 유량의 비율이 조정된 에칭 가스와 산화 가스가 해당 피처리체에 공급된다. 따라서, 에칭 가스의 분해에 의한 카본막의 형성을 억제하면서, 피처리체 표면의 코발트막을 에칭할 수 있다.
본 발명의 다른 발명에 의하면, 코발트막의 산화 후, 에칭 가스와 에칭 가스의 분해 억제 가스를 피처리체에 공급함으로써, 에칭 가스의 분해가 억제된 상태에서 에칭이 실행된다. 따라서, 에칭 가스의 분해에 의한 카본막의 형성을 억제하면서, 피처리체 표면의 코발트막을 에칭할 수 있다.
도 1은 본 발명의 제 1 실시형태에 따른 에칭 장치의 종단 측면도,
도 2는 상기 제 1 실시형태에 따른 에칭 장치의 종단 측면도,
도 3은 상기 제 1 실시형태에 따른 에칭 장치의 종단 측면도,
도 4는 본 발명의 제 2 실시형태에 따른 에칭 장치의 종단 측면도,
도 5는 상기 제 2 실시형태에 따른 에칭 장치의 종단 측면도,
도 6은 상기 제 2 실시형태에 따른 에칭 장치의 종단 측면도,
도 7은 상기 제 2 실시형태에 따른 에칭 장치의 종단 측면도,
도 8은 가스의 공급 상태와 공급 정지 상태를 나타내는 타이밍 차트,
도 9는 웨이퍼의 종단 측면도,
도 10은 웨이퍼의 종단 측면도,
도 11은 웨이퍼의 종단 측면도,
도 12는 평가 시험의 결과를 나타내는 그래프도,
도 13은 평가 시험의 결과를 나타내는 그래프도,
도 14는 평가 시험의 결과를 나타내는 스펙트럼도,
도 15는 평가 시험의 결과를 나타내는 스펙트럼도,
도 16은 평가 시험의 결과를 나타내는 스펙트럼도,
도 17은 평가 시험의 결과를 나타내는 웨이퍼의 종단 측면 모식도,
도 18은 평가 시험의 결과를 나타내는 스펙트럼도,
도 19는 평가 시험의 결과를 나타내는 스펙트럼도,
도 20은 평가 시험의 결과를 나타내는 스펙트럼도,
도 21은 평가 시험의 결과를 나타내는 스펙트럼도,
도 22는 평가 시험의 결과를 나타내는 스펙트럼도,
도 23은 평가 시험의 결과를 나타내는 스펙트럼도,
도 24는 평가 시험의 결과를 나타내는 스펙트럼도,
도 25는 평가 시험의 결과를 나타내는 스펙트럼도,
도 26은 평가 시험의 결과를 나타내는 그래프도.
(제 1 실시형태)
본 발명의 제 1 실시형태에 따른 에칭 방법을 실시하는 에칭 장치(1)에 대해 도 1을 참조하면서 설명한다. 이 에칭 장치(1)에서 처리되는 피처리체인 웨이퍼(W)의 표면에는, 반도체 디바이스의 배선을 형성하기 위한 Co막이 형성되어 있다. 에칭 장치(1)는, 횡단면 형상이 개략 원형의 진공 챔버인 처리 용기(11)를 구비하고 있다. 도면 중 도면부호 12는 웨이퍼(W)의 수수를 실행하기 위해서 처리 용기(11)의 측면에 개구된 반입출구이며, 게이트 밸브(13)에 의해 개폐된다. 또한, 처리 용기(11)에는, 그 내면을 소정의 온도로 가열하는 도시하지 않은 히터가 마련되어 있다.
처리 용기(11)의 내부에는, 웨이퍼(W)의 탑재부인 원기둥 형상의 스테이지(2)가 마련되어 있다. 도면 중 도면부호 21은 스테이지(2)에 탑재되는 웨이퍼(W)를 지지하는 지지 핀이며, 지지 핀(21)은 해당 웨이퍼(W)를 스테이지(2)의 상면으로부터 예컨대 0.3㎜ 부상한 상태로 지지하도록 해당 스테이지(2)의 상면에 복수 마련되어 있다. 스테이지(2)의 내부에는 가열부를 이루는 히터(22)가 마련되어 있어서, 스테이지(2)에 탑재되는 웨이퍼(W)가 설정 온도로 되도록 가열된다.
도면 중 도면부호 23은 스테이지(2) 및 처리 용기(11)의 저면을 관통하는 관통 구멍이며, 관통 구멍(23)에는, 승강 기구(24)에 의해 스테이지(2)의 상면에서 돌몰하도록 마련된 웨이퍼(W)의 수수용의 밀어올림 핀(25)이 마련되어 있다. 도면 중 도면부호 26은 벨로우즈로서, 밀어올림 핀(25)의 하부측을 덮어, 처리 용기(11) 내의 기밀성을 확보한다. 도면 중 도면부호 14는 처리 용기(11)의 저면에 개구되는 배기구로서, 배기관(15)의 일단이 접속되어 있다. 배기관(15)의 타단은 압력 조정 밸브(16), 개폐 밸브(17)를 이 순서로 거쳐서, 진공 배기 기구인 진공 펌프(18)에 접속되어 있다.
처리 용기(11)의 상면에 형성된 개구부(19)를 폐색하도록 원형의 가스 공급부(3)가 마련되어 있다. 도면 중 도면부호 31은 가스 공급부(3)를 구성하는 원형의 확산판으로서, 스테이지(2)에 탑재되는 웨이퍼(W)와 대향한다. 도면 중 도면부호 32는 확산판(31)을 두께 방향으로 관통하는 가스 공급 구멍이며, 해당 확산판(31)은 이 가스 공급 구멍(32)이 종횡으로 배열된 펀칭 플레이트로서 구성되어 있다. 확산판(31)의 상방에는 가스 공급부(3) 내에서 웨이퍼(W)에 공급하는 각 가스를 분산시키기 위한 분산실(33)이 형성되어 있다. 도면 중 도면부호 34는 가스 공급부(3)를 가열하는 히터이다.
도면 중 도면부호 41 및 42는 가스 공급관으로서, 각 하류단이 분산실(33)에 개구되어 있다. 가스 공급관(41)의 상류단은 밸브(V1, V2), 유량 조정부(51)를 이 순서로 거쳐서, β-디케톤인 헥사플루오로아세틸아세톤(Hfac) 가스의 공급원(61)에 접속되어 있다. 가스 공급관(42)의 상류단은 밸브(V3, V4), 유량 조정부(52)를 이 순서로 거쳐서 산소(O2) 가스의 공급원(62)에 접속되어 있다.
또한, 도면 중 도면부호 63은 질소(N2) 가스의 공급원으로서, 가스 공급관(43)의 상류단이 접속되어 있다. 가스 공급관(43)에는 하류측을 향해 유량 조정부(53), 밸브(V5)가 순차적으로 개설되고, 그 하류단은 2개로 분기하여, 가스 공급관(41)의 밸브(V1)와 밸브(V2) 사이, 및 가스 공급관(42)의 밸브(V3)와 밸브(V4) 사이에 각각 접속되어 있다. O2 가스는 Co막을 산화하기 위한 산화 가스이며, Hfac 가스는 산화된 Co막을 에칭하는 에칭 가스이다. N2 가스는 Hfac 가스 및 O2 가스를 희석하기 위한 희석 가스이다.
가스 공급관(41)의 Hfac 가스 공급원(61)과 유량 조정부(51) 사이에는 바이패스 배관(44)의 상류단이 접속되어 있으며, 바이패스 배관(44)의 하류단은 유량 조정부(54), 밸브(V6)를 순차적으로 거쳐서, 배기관(15)의 압력 조정 밸브(16)와 개폐 밸브(17) 사이에 접속되어 있다. 가스 공급관(42)의 O2 가스 공급원(62)과 유량 조정부(52) 사이에는 바이패스 배관(45)의 상류단이 접속되어 있으며, 바이패스 배관(45)의 하류단은 유량 조정부(55), 밸브(V7)를 순차적으로 거쳐서, 배기관(15)의 압력 조정 밸브(16)와 개폐 밸브(17) 사이에 접속되어 있다. 바이패스 배관(44, 45)은, 후술의 에칭 처리를 실행할 때에, Hfac 가스 및 O2 가스를 처리 용기(11) 내에 공급하지 않을 때에 배기관(15)으로 공급함으로써, 이들 Hfac 가스 및 O2 가스를 처리 용기(11)에 공급할 때에 각 가스의 유량을 안정시키도록 하기 위한 배관이다.
또한, 에칭 장치(1)는 제어부(10)를 구비하고 있다. 이 제어부(10)는 예컨대 컴퓨터로 이루어지며, 프로그램, 메모리, CPU를 구비하고 있다. 프로그램은 후술의 작용 설명에 있어서의 일련의 동작을 실시하도록 단계군이 짜 넣어져 있으며, 프로그램에 따라서, 웨이퍼(W)의 온도 조정, 각 밸브(V)의 개폐, 각 가스의 유량 조정, 처리 용기(11) 내의 압력 조정 등을 실행한다. 이 프로그램은 컴퓨터 기억 매체, 예컨대 콤팩트디스크, 하드디스크, 광자기 디스크, 메모리 카드 등에 수납되어 제어부(10)에 인스톨된다.
상기의 에칭 장치(1)는, 웨이퍼(W)의 표면의 Co막에 산화 가스인 O2 가스 및 에칭 가스인 Hfac 가스를 동시에 공급한다. 이처럼 각 가스가 공급된 Co막은 이하와 같은 원리로 에칭된다. 우선, 하기의 식 1에 나타내는 바와 같이, Co막의 표면이 산화된다. 하기 CoOx는 코발트의 산화물이다. 그리고, 하기의 식 2에서 나타내는 바와 같이 CoOx는 Hfac 가스와 반응하여, 착체인 고체의 Co(Hfac)2가 생성된다. 이 고체의 Co(Hfac)2는 비교적 증기압이 낮으며, 하기의 식 3에서 나타내는 바와 같이 웨이퍼(W)의 열에 의해 승화되어, 해당 웨이퍼(W)로부터 제거된다. 이와 같이 식 1 내지 식 3의 반응이 진행함으로써, Co막이 에칭된다.
Co+O2→CoOx ··· 식 1
CoOx+Hfac→Co(Hfac)2+H2O ··· 식 2
Co(Hfac)2 (고체)→Co(Hfac)2 (기체) ··· 식 3
그런데 Hfac 가스 및 O2 가스를 이용하여 동일한 반응을 진행시킴으로써 Co가 아닌 다른 재료의 금속막, 예컨대 Ni(니켈)로 이루어지는 금속막에 대해서도 에칭할 수 있다. 다른 재료의 금속막을 에칭하는 경우, 웨이퍼(W)에 공급되는 Hfac 가스의 열분해 온도는 350℃보다 약간 높은 온도이다. 그 때문에 Co막을 에칭하는 경우에 있어서 상기의 식 1 내지 식 3에서 나타낸 반응을 효율적으로 진행시키기 위해서, 이 열분해 온도보다 낮은 온도 범위 내 중 비교적 높은 온도로 웨이퍼(W)를 처리하는 것이 유효한 것으로 여겨져 왔다. 구체적으로는 예컨대 웨이퍼(W)를 275℃ 내지 350℃로 가열하여, 상기의 식 1 내지 식 3의 반응을 진행시키는 것이 유효한 것으로 여겨진다.
그러나, 발명이 해결하고자 하는 과제의 항목에서도 기술한 바와 같이, 본 발명자는 Co막을 에칭하는 경우는, 그와 같이 웨이퍼(W)를 275℃ 내지 350℃로 가열하는 것과 함께 Hfac 가스 및 O2 가스를 동시에 웨이퍼(W)에 공급하여 처리를 실행하면, 에칭 처리 후의 웨이퍼(W)의 표면에 카본막이 형성되는 것을 확인했다. 이것은 Co 및 CoOx가 촉매로서 작용함으로써, 웨이퍼(W)의 온도가 275℃ 내지 350℃인 경우에도 O2 가스의 작용에 의해 Hfac 가스가 분해되고 있으며, 이 Hfac 가스에 포함되는 탄소가 퇴적한 것에 의해 형성된 것으로 여겨진다. 상기의 에칭 장치(1)는, 이러한 Hfac 가스의 분해가 억제되도록, 상기의 식 1 내지 식 3에서 나타낸 반응을 진행시켜 Co막의 에칭을 실행한다.
이어서, 에칭 장치(1)의 동작에 대해 도 2 및 도 3을 참조하면서 설명한다. 도 2 및 도 3에서는 각 배관에 대하여, 가스가 유통하고 있는 개소를, 가스의 유통이 정지되어 있는 개소보다 굵은 선으로 표시하고 있다. 우선 웨이퍼(W)가 스테이지(2)에 탑재되고, 히터(22)에 의해 예컨대 200℃ 내지 250℃가 되도록 가열된다. 그리고, 처리 용기(11)가 배기되어 해당 처리 용기(11) 내에 진공 분위기가 형성되는 동시에, 밸브(V1, V3, V5)가 개방되고, N2 가스가 처리 용기(11)에 공급된다. 이 N2 가스의 공급에 병행하여, 밸브(V6, V7)가 개방되고, Hfac 가스 및 O2 가스가 배기관(15)에 공급된다. 도 2는 이러한 각 가스가 공급된 상태를 도시하고 있다.
이어서, 밸브(V6, V7)가 폐쇄되는 동시에, 밸브(V2, V4)가 개방되고, 도 3에 도시하는 바와 같이, 처리 용기(11)에 Hfac 가스 및 O2 가스가 웨이퍼(W)에 공급된다. 이와 같이 Hfac 가스 및 O2 가스가 웨이퍼(W)에 공급되고 있을 때, 처리 용기(11) 내의 압력은 예컨대 10㎩ 내지 100㎩이 되는 동시에, 에칭 가스인 Hfac 가스의 유량에 대해 산화 가스인 O2 가스의 유량은 0.5% 내지 50%가 된다.
웨이퍼(W)에 공급된 O2 가스 및 Hfac 가스에 의해, 상기의 식 1 및 식 2에서 설명한 바와 같이 Co막의 표면에서 착체의 형성이 일어나고, 식 3에서 설명한 바와 같이 웨이퍼(W)의 열에 의해 해당 착체가 승화하여 해당 Co막의 표면이 에칭된다. 이와 같이 에칭이 진행되는 동안, 웨이퍼(W)의 온도가 상기의 비교적 낮은 온도로 되어 있는 것에 의해, 웨이퍼(W)에 공급된 Hfac 가스의 분해가 억제된다. 또한, 이와 같이 웨이퍼(W)의 온도가 낮아도, Hfac 가스의 유량에 대한 O2 가스의 유량이 상기와 같이 설정되어 있기 때문에, Co막의 에칭이 신속하게 진행된다. 이와 같이 Hfac 가스 및 O2 가스의 유량을 설정함으로써 실용상, 충분한 에칭 속도를 얻을 수 있는 것은 본 발명자의 실험에 의해 확인되고 있다.
Co막의 표면이 소망의 양만큼 에칭되면, 밸브(V2, V4)가 폐쇄되는 동시에, 밸브(V6, V7)가 개방되어, 도 2에 도시하는 바와 같이 처리 용기(11)로의 Hfac 가스 및 O2 가스의 공급이 정지되고, 처리 용기(11)에 공급되는 N2 가스에 의해 처리 용기(11) 내에 잔류하는 Hfac 가스 및 O2 가스가 퍼지되며, Co막의 에칭 처리가 종료된다.
이 에칭 장치(1)에 의한 처리에서는, 상기와 같이 웨이퍼(W)의 온도를 설정하는 동시에 웨이퍼(W)에 공급하는 Hfac 가스와 O2 가스의 유량비에 대해 상기와 같이 설정함으로써, Hfac 가스의 분해를 억제하여 카본막의 형성을 방지하면서, 에칭 속도의 저하가 억제되도록 Co막의 에칭을 실행할 수 있다.
그런데 Co를 산화하여 CoOx를 생성시키기 위해서 이용하는 산화 가스는 O2 가스인 것에 한정되지 않는다. 예컨대, O2 가스 대신에 NO(일산화질소) 가스를 이용해도 좋고, O3(오존) 가스를 이용해도 좋다. O3 가스 또는 NO 가스를 이용함으로써, O2 가스를 이용한 경우보다 높은 에칭 속도를 얻을 수 있는 것을 본 발명자는 확인하고 있다. 산화 가스로서 O3 가스 또는 NO 가스를 이용하는 경우도, Hfac 가스의 유량에 대한 이들 산화 가스의 유량은 상기의 비율이 되도록 처리가 실행된다.
(제 2 실시형태)
이어서, 본 발명의 제 2 실시형태에 따른 에칭 방법을 실시하는 에칭 장치(7)에 대하여, 에칭 장치(1)와의 차이점을 중심으로 도 4를 참조하면서 설명한다. 이 에칭 장치(7)에는, 하류단이 분산실(33)에 개구된 가스 공급관(46)이 마련되며, 가스 공급관(46)의 상류단은 밸브(V8, V9), 유량 조정부(56)를 이 순서로 거쳐서, Hfac 가스의 분해를 억제하기 위한 수소(H2) 가스의 공급원(64)에 접속되어 있다.
또한, 가스 공급관(46)의 H2 가스 공급원(64)과 유량 조정부(56) 사이에는, 바이패스 배관(47)의 상류단이 접속되어 있으며, 바이패스 배관(47)의 하류단은 유량 조정부(57), 밸브(V10)를 순차적으로 거쳐서, 배기관(15)의 압력 조정 밸브(16)와 개폐 밸브(17) 사이에 접속되어 있다. 바이패스 배관(47)은 바이패스 배관(44, 45)과 마찬가지로, H2 가스를 처리 용기(11)에 공급하지 않을 때에는, 배기관(15)으로 공급함으로써, H2 가스를 처리 용기(11)에 공급할 때에 해당 H2 가스의 유량을 안정시키도록 하기 위한 배관이다. 또한, 상류단이 N2 가스 공급원(63)에 접속된 가스 공급관(43)의 하류단은 3개로 분기되며, 그 중 2개는 에칭 장치(1)와 마찬가지로 가스 공급관(41, 42)에 접속되고, 나머지 1개는 가스 공급관(46)에 있어서 밸브(V8, V9)의 사이에 접속되어 있다.
이어서, 에칭 장치(7)의 동작에 대해, 도 2 및 도 3과 마찬가지로 각 배관의 가스의 흐름을 나타내는 도 5 내지 도 7을 참조하면서 설명한다. 또한, 도 8은 O2 가스, Hfac 가스, H2 가스의 각각에 대하여, 웨이퍼(W)로의 공급이 실행되고 있는 상태(차트 중 ON으로 표기)와 공급이 정지되어 있는 상태(차트 중 OFF로 표기)의 전환을 나타내는 타이밍 차트이며, 이 차트도 적절히 참조한다. 또한, 도 9 내지 도 11은 웨이퍼(W)의 종단 측면도이며, 이들 도 9 내지 도 11도 적절히 참조한다. 도 9는 에칭 장치(7)에서 처리 전의 웨이퍼(W)이며, 도면 중 도면부호 71은 웨이퍼(W) 표면에 형성된 Co막이다.
우선, 상기의 도 9에 도시하는 웨이퍼(W)가 스테이지(2)에 탑재되며, 히터(22)에 의해 예컨대 250℃ 이상 또한 Hfac 가스의 분해 온도보다 낮은 온도, 구체적으로는 예컨대 250℃ 내지 350℃가 되도록 가열된다. 그리고, 처리 용기(11)가 배기되어 해당 처리 용기(11) 내에 진공 분위기가 형성되는 동시에, 밸브(V1, V3, V5, V8)가 개방되어, N2 가스가 처리 용기(11)에 공급된다. 이 N2 가스의 공급에 병행하여, 밸브(V6, V7, V10)가 개방되어, Hfac 가스, O2 가스 및 H2 가스가 배기관(15)에 공급된다. 도 5는 이와 같이 각 가스가 공급된 상태를 도시하고 있다.
이어서, 밸브(V7)가 폐쇄되는 동시에 밸브(V4)가 개방되고, 예컨대 처리 용기(11) 내의 압력이 10㎩ 내지 100㎩이 된 상태에서, 단계(S1)로서 도 6에 도시하는 바와 같이 처리 용기(11)에 O2 가스가 웨이퍼(W)에 공급된다(차트 중 시각 t1). 이에 의해서, 상기의 식 1에서 설명한 바와 같이 Co막의 표면이 산화되어 CoOx의 층(72)이 형성된다(도 10).
그 후, 밸브(V4, V6, V10)가 폐쇄되는 동시에 밸브(V2, V7, V9)가 개방되고, 예컨대 처리 용기(11) 내의 압력이 10㎩ 내지 100㎩이 된 상태에서, 단계(S2)로서 도 7에 도시하는 바와 같이 처리 용기(11)에 Hfac 가스 및 H2 가스가 웨이퍼(W)에 공급되고(차트 중 시각 t2), 이들 가스에 의해 처리 용기(11) 내의 O2 가스는 퍼지된다. 이와 같이 처리 용기(11) 내로의 O2 가스의 공급이 정지되어 해당 처리 용기(11) 내에 있어서의 O2 가스의 농도가 저하된 상태에서, Hfac 가스가 분해 억제 가스인 H2 가스와 함께 공급됨으로써, 해당 Hfac 가스의 분해는 억제된다. 따라서, 카본층의 형성이 억제되면서, 상기의 식 2 및 식 3에서 설명한 착체의 형성 및 해당 착체의 승화가 일어나, Co막 표면의 CoOx의 층(72)이 제거된다(도 11).
그 후, 밸브(V2, V7, V9)가 폐쇄되는 동시에 밸브(V4, V6, V10)가 개방되어, 처리 용기(11)로의 Hfac 가스 및 H2 가스의 공급이 정지되는 동시에 재차 처리 용기(11)에 O2 가스가 웨이퍼(W)에 공급되고, 상기의 단계(S1)가 실행된다(시각 t3). 즉, Co막의 표면이 산화되어 CoOx의 층(72)이 형성된다. 그 후, 밸브(V4, V6, V10)가 폐쇄되는 동시에 밸브(V2, V7, V8)가 개방되어, 처리 용기(11)로의 O2 가스의 공급이 정지되는 동시에 재차 처리 용기(11)에 Hfac 가스 및 H2 가스가 웨이퍼(W)에 공급되고, 상기의 단계(S2)가 실행된다. 즉, 착체가 형성되어 CoOx의 층(72)이 제거된다(시각 t4).
단계(S1, S2)가 소정 횟수 반복되어, Co막의 표면이 소망의 양만큼 에칭되면, 단계(S2)가 실행되고 있는 상태로부터 밸브(V6, V10)가 개방되는 동시에, 밸브(V2, V9)가 폐쇄되어, 도 5에 도시하는 바와 같이 처리 용기(11)에는 N2 가스만 공급되는 상태가 된다. 해당 N2 가스에 의해 처리 용기(11)에 잔류하는 Hfac 가스 및 H2 가스가 퍼지되고, Co막의 에칭 처리가 종료된다.
이 에칭 장치(7)에 의하면, Co막이 표면에 형성된 웨이퍼(W)에 O2 가스를 공급한 후에 Hfac 가스 및 H2 가스를 공급하고 있다. 이에 의해 Co 및 CoOx의 촉매 효과에 의한 Hfac 가스의 O2 가스에 의한 분해가 억제되어, 웨이퍼(W)에 카본막이 형성되는 것을 방지하면서, Co막의 에칭을 실행할 수 있다.
상기의 예에서는, 분해 억제 가스로서 H2 가스를 Hfac 가스와 함께 웨이퍼(W)에 공급하고 있지만, Hfac 가스에 대해 환원성을 갖는 가스이면, Hfac 가스의 산화를 억제하여 분해를 억제하기 때문에, H2 이외에 그러한 환원성을 갖는 가스를 분해 억제 가스로 이용할 수 있다. 예컨대 NH3(암모니아) 가스, H2S(황화수소) 가스 등의 가스를 분해 억제 가스로 이용해도 좋다. 또한, 단계(S1, S2)는 반복하여 복수 회 실행하는 것에 한정되지 않으며, Co막의 에칭량이 작은 경우에는, 단계(S1, S2)를 각각 1회만 실행하도록 해도 좋다. 또한, O2 가스의 공급의 정지와 Hfac 가스 및 H2 가스의 공급 개시는 동시인 것에 한정되지 않는다. O2 가스의 공급 정지 후, 처리 용기(11) 내에 잔류하는 O2 가스를 N2 가스에 의해 퍼지하고 나서, Hfac 가스 및 H2 가스를 공급해도 좋다.
제 1 실시형태 및 제 2 실시형태에 있어서, 상기의 Co를 에칭하는 가스로서는, 상기의 식 1 내지 식 3에서 나타내는 바와 같이 CoOx와 반응하며, CoOx보다 증기압이 낮은 착체를 형성할 수 있는 β-디케톤의 가스이면 좋고, 예컨대 트리플루오로아세틸아세톤(1,1,1-트리플루오로-2,4-펜탄디온이라고도 불림), 아세틸아세톤 등의 가스를, Hfac(1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온이라고도 불림) 가스 대신 이용할 수 있다.
평가 시험
이하, 본 발명에 관련하여 실행된 평가 시험에 대해 설명한다.
(평가 시험 1)
평가 시험 1-1로서, 표면에 Co막이 형성된 복수의 웨이퍼(W)에 대해, 상기의 제 1 실시형태에서 설명한 바와 같이 각 가스를 공급하여 Co막의 에칭 처리를 실행했다. 다만, Hfac 가스 및 O2 가스를 공급할 때의 각 웨이퍼(W)의 온도는 각각 250℃, 275℃, 300℃로 했다. 그리고, 에칭 종료 후, 웨이퍼(W)의 표면의 카본막의 막 두께를 측정했다.
평가 시험 1-2로서, 평가 시험 1-1과 마찬가지로, Hfac 가스 및 O2 가스를 공급할 때에, 복수의 각 웨이퍼(W)의 온도를 각각 250℃, 275℃, 300℃로 설정하여 에칭 처리를 실행하고, 처리 후에 각 웨이퍼(W) 표면의 카본막의 막 두께를 측정했다. 다만, 이 평가 시험 1-2에서는, 웨이퍼(W)의 표면에는 Co막 대신에 다른 종류의 금속막, 예컨대 Ni막이 형성되어 있으며, Hfac 가스 및 O2 가스에 의해, 해당 다른 종류의 금속막이 에칭된다.
도 12는 평가 시험 1의 결과를 나타내는 그래프이다. 그래프의 가로축은 H2 가스 및 O2 가스 공급 시의 웨이퍼(W)의 온도(단위: ℃)이며, 그래프의 세로축은 카본막의 막 두께(단위: ㎚)이다. 평가 시험 1-2에서는 웨이퍼(W)의 온도가 250℃, 275℃, 300℃ 중 어느 것이라도 카본막의 막 두께는 0㎚였다. 즉, 카본막의 형성은 확인되지 않았다. 그러나, 평가 시험 1-1에서는, 웨이퍼(W)의 온도가 250℃인 경우는 카본막의 막 두께는 0㎚였지만, 275℃인 경우는 약 5㎚ 형성되었으며, 300℃의 경우 약 50㎚ 형성되어 있었다. 또한, 이와 같이 평가 시험 1-1에서 형성된 카본막의 막 두께는 웨이퍼(W)의 면 내에서 편차가 비교적 컸다.
본 발명자는, 이 평가 시험 1의 결과에 의해서, 상술한 바와 같이 Co막을 에칭할 때에는 Co 및 CoOx가 촉매 효과를 가짐으로써, Hfac 가스가 분해되는 온도가, Co 이외의 재료의 막을 에칭하는 경우의 Hfac 가스가 분해되는 온도보다 낮아져 버린다고 하는 지견을 얻었다. 또한, 상기와 같이 평가 시험 1-1에서 웨이퍼(W)의 온도가 250℃인 경우에는 카본막이 형성되지 않았으므로, 제 1 실시형태의 효과가 확인되었다.
평가 시험 2
평가 시험 2-1로서, 제 1 실시형태와 마찬가지로 Hfac 가스 및 O2 가스를 동시에 웨이퍼(W)에 대해, 웨이퍼(W)의 표면의 Co막의 에칭 처리를 실행했다. 이 평가 시험 2-1에서는, Hfac 가스 및 O2 가스의 공급 시에 있어서의 처리 용기(11) 내의 압력은 20Torr(2.67×103㎩)로 설정했다. 또한, 에칭 처리는 복수 매의 웨이퍼(W)에 대해 실행하며, 서로 다른 온도가 되도록 각 웨이퍼(W)를 가열하고 처리를 실행했다. 에칭 처리 후는, 각 웨이퍼(W)의 Co막의 에칭 레이트(단위: ㎚/분)를 측정했다. 또한, 평가 시험 2-2로서, 평가 시험 2-1과 대략 동일하게 웨이퍼(W)를 처리하고, Co막의 에칭 레이트를 측정했다. 평가 시험 2-2에서는 평가 시험 2-1과 달리, Hfac 가스 및 O2 가스의 공급 시에 있어서의 처리 용기(11) 내의 압력은 100Torr(1.33×104㎩)로 설정했다.
도 13의 편대수 그래프는 이 평가 시험 2의 결과를 나타내고 있는데, 그래프의 가로축은 Hfac 가스 및 O2 가스 공급시의 웨이퍼(W)의 온도(단위: ℃)이며, 그래프의 세로축은 에칭 레이트(단위: ㎚/분)이다. 이 그래프에 나타내는 바와 같이 평가 시험 2-1 및 2-2 각각에 있어서, 250℃ 내지 330℃의 범위에서 웨이퍼(W)의 온도가 높을수록 에칭 레이트가 높아지고 있다. 따라서, 에칭 레이트에는 온도 의존성이 있는 것을 알 수 있다. 또한, 평가 시험 2-1 및 2-2에서 웨이퍼(W)의 온도가 동일한 경우에는 평가 시험 2-2 쪽이 에칭레이트가 높다. 아레니우스 플롯(Arrhenius plot)에 의해 상기의 식 2의 Co(Hfac)2를 생성시키는 반응이 진행되기 위한 활성화 에너지를 구하면, 처리 용기(11) 내의 압력이 20Torr인 경우에 1.39eV, 100Torr인 경우에 0.63eV여서, 평가 시험 2-2 쪽이 평가 시험 2-1보다 식 2의 반응이 진행되기 쉬워, 착체가 형성되기 쉽다.
이 평가 시험 2에 나타내는 바와 같이 Hfac 가스 및 O2 가스 공급시의 웨이퍼(W)의 주위의 압력을 조정함으로써, 에칭 레이트를 제어할 수 있는 것을 알 수 있다. 따라서, 웨이퍼(W)의 온도가 비교적 낮아도, 실용상 충분한 속도로 웨이퍼(W)의 에칭을 실행할 수 있다는 것이 추정된다. 또한, 발명자는, 실험에 의해서, 상기의 착체를 형성하기 위한 식 2의 반응을 진행시키기 위해 필요한 활성화 에너지는 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율을 조정하는 것에 의해서도 변화된다고 추정하고 있다.
(평가 시험 3)
평가 시험 3으로서, 웨이퍼(W)에 형성된 Co막의 표면에 대해, X선 광전자 분광법(XPS)에 의해 C 1s에 대한 스펙트럼을 취득했다. 그리고, 이 웨이퍼(W)에 대하여, 제 1 실시형태와 마찬가지로 Hfac 가스 및 O2 가스를 동시에 공급하여, 웨이퍼(W)의 표면의 Co막의 에칭 처리를 실행했다. 다만, 이들 가스가 공급될 때의 웨이퍼(W)의 온도는 제 1 실시형태에서 나타낸 온도와는 다른 300℃로 했다. 에칭 처리 후에, XPS에 의해 웨이퍼(W) 표면의 C 1s에 대한 스펙트럼을 취득했다.
도 14 및 도 15의 그래프는 각각 에칭 전 및 에칭 후에 취득된 스펙트럼을 나타내고 있다. 그래프의 가로축의 수치는 원소의 결합 에너지(단위: eV)를 나타내며, 세로축의 수치는 검출되는 광전자의 강도를 나타내고 있다. 도 14의 에칭 전의 스펙트럼에서는, 탄소 원자와 탄소 원자의 이중 결합, 탄소 원자와 탄소 원자의 단결합, 및 탄소 원자와 산소 원자의 단결합에 대한 존재가 확인되었지만, 에칭 후의 스펙트럼에서는 이들 결합에 더하여, -COO- 및 -OCOO-로 이루어지는 기가 존재하는 것이 나타났다. 또한, 에칭 후에 취득된 스펙트럼에서는 C 원자 농도가 90% 이상인 것이 확인되며, 또한 Co가 검출되지 않았다. 이 XPS에 의해 취득된 스펙트럼으로부터, 에칭에 의해 웨이퍼(W)의 표면 상태가 변화되어 있고, 카본층이 형성되어 있는 것이 추정된다.
도 15의 스펙트럼이 취득된 에칭 후의 웨이퍼(W)의 표면에 대하여, 라만 분광법을 실행함으로써 도 16에 도시하는 스펙트럼을 취득했다. 도 16의 스펙트럼의 가로축은 라만 시프트(단위: ㎝-1), 세로축은 강도를 나타내고 있다. 이 도 16의 스펙트럼에 있어서, 1600㎝-1 부근의 G-band, 1340㎝-1 부근의 D-band에, 각각 카본에 유래하는 것으로 추찰되는 피크가 확인되었다. G-band의 피크는 결정 카본의 존재를, D-band의 피크는 아몰퍼스(amorphous) 카본의 존재를 시사하기 때문에, 이 웨이퍼(W)의 표면에서는 아몰퍼스 카본 중에 결정 카본이 혼입된 카본층이 형성되어 있을 가능성이 있다. 이와 같이, 라만 분광법에 의해서도 카본층의 존재가 시사되었다.
도 16의 스펙트럼이 취득된 에칭 후의 웨이퍼(W)에 대하여, 투과형 전자 현미경(TEM)에 의한 촬상을 실행했다. 도 17은 취득된 화상의 모식도로서, 웨이퍼(W)의 종단 측면을 나타내고 있다. SiO2막, TiN막의 순서로 상방을 향해 각 막이 적층되어 있으며, 에칭 전에는 TiN막 상에 막 두께 100㎚의 Co막이 형성되어 있었다. 화상에서는 이 Co막은 완전히 제거되어 있는 것, 및 해당 TiN막 상에는 막 두께가 70㎚인 카본막이 형성되어 있는 것이 확인되었다. 이와 같이 평가 시험 2로부터, 웨이퍼(W)를 300℃로 하여 Hfac 가스 및 O2 가스를 해당 웨이퍼(W)에 동시에 공급하면, 카본막(카본층)이 형성되는 것이 나타났다. 이러한 지견을 얻음으로써 본 발명자는 본 발명에 도달했다.
(평가 시험 4)
평가 시험 4로서, 웨이퍼(W)에 형성된 Co막의 표면에 대하여, 제 1 실시형태와 마찬가지로 Hfac 가스 및 O2 가스를 동시에 공급하여, 복수의 웨이퍼(W)의 표면의 Co막의 에칭 처리를 실행했다. 다만, 이들 가스가 공급될 때의 웨이퍼(W)의 온도는 웨이퍼(W)마다 다르게 설정했다. 200℃ 이상 250℃ 미만으로 가열한 시험을 평가 시험 4-1, 250℃로 가열한 시험을 평가 시험 4-2, 275℃로 가열한 시험을 평가 시험 4-3, 300℃로 가열한 시험을 평가 시험 4-4로 한다. 에칭 처리 후에, 각 평가 시험 4-1 내지 4-4의 웨이퍼(W)의 표면에 대하여, XPS에 의해 스펙트럼을 취득했다.
도 18 및 도 19, 도 20 및 도 21, 도 22 및 도 23, 도 24 및 도 25는 각각 평가 시험 4-1, 4-2, 4-3, 4-4로부터 취득된 스펙트럼을 나타내는 그래프이다. 도 14 및 도 15와 마찬가지로 그래프의 가로축, 세로축은 각각 결합 에너지(단위: eV), 검출되는 광전자의 강도를 나타내고 있다. 도 18, 도 20, 도 22 및 도 24는 770eV 내지 810ev의 스펙트럼을 나타내고 있으며, 도 19, 도 21, 도 23 및 도 25는 275eV 부근 내지 295eV 부근의 스펙트럼을 나타내고 있다. 도 18 및 도 20에서는, Co 2p를 나타내는 피크가 778eV 부근 및 795eV 부근에 출현하고 있다. 또한, 도 19 및 도 21에서는 C 1s를 나타내는 피크가 285eV 부근에 출현하고 있지만, 그 피크가 작다. 도 22 및 도 24에서는 Co 2p를 나타내는 피크가 778eV 부근 및 795eV 부근에 관찰되지 않는다. 또한, 도 23 및 도 25에서는 285eV 부근에 C 1s를 나타내는 피크가, 도 19 및 도 21의 피크보다 크게 출현하고 있다.
이러한 결과로부터 평가 시험 4-1 및 4-2에서는 웨이퍼(W) 표면에 카본막이 형성되어 있지 않으며, Co막이 노출된 상태로 되어 있지만, 평가 시험 4-3 및 4-4에서는, Co막을 피복하도록 카본막이 형성되어 있는 것이 추정된다. 즉, Hfac 가스 및 O2 가스를 동시에 공급할 때에, 웨이퍼(W)를 275℃ 이상으로 하고 있으면, 카본막이 형성되어 버리는 것을 알 수 있다. 또한, 평가 시험 4-1 및 4-2에서는 카본막이 형성되어 있지 않으므로, 제 1 실시형태의 효과가 확인되었다.
평가 시험 5
평가 시험 5로서, 제 1 실시형태에서 설명한 방법에 의해서, 복수의 웨이퍼(W)의 Co막에 대해 에칭 처리를 실행했다. 다만, 이 평가 시험 5의 에칭 처리에서는, 웨이퍼(W)마다, 서로 다른 Co를 산화하기 위한 산화 가스를 이용하여 처리를 실행했다. 산화 가스로서는, O3 가스, O2 가스, NO 가스, N2O(아산화질소) 가스, H2O2(과산화 수소) 가스를 각각 이용했다. 도 26은 평가 시험 5의 결과를 나타내는 그래프이다. 세로축은 소정의 시간에 있어서의 1회의 에칭 처리당 Co의 에칭량(㎚/cycle로 표기), 즉 에칭 속도를 나타내고 있다. 그래프에 나타내는 바와 같이, 상기의 산화 가스 중 어느 산화 가스를 이용한 경우라도 에칭을 실행할 수 있는 것이 확인되었다. 그래프로부터 명확한 바와 같이, O3 가스를 이용한 경우 및 NO 가스를 이용한 경우에는, O2 가스를 이용한 경우보다 에칭량이 크다. 따라서, 이 평가 시험 5로부터 산화 가스로서, 이들 O3 가스 또는 NO 가스를 이용하는 것이 특히 유효하다는 것이 확인되었다.
W: 웨이퍼 1: 에칭 장치
10: 제어부 11: 처리 용기
2: 스테이지 22: 히터
3: 가스 공급부 61: Hfac 가스 공급원
62: O2 가스 공급원 64: H2 가스 공급원

Claims (10)

  1. 표면에 코발트막이 형성된 피처리체에, 상기 코발트막을 산화하기 위한 산화 가스를 공급하는 제 1 가스 공급 공정과,
    그 후, β-디케톤으로 이루어지는 에칭 가스와, 상기 에칭 가스의 분해를 억제하기 위한 분해 억제 가스를 공급하여, 산화된 상기 코발트막을 에칭하는 제 2 가스 공급 공정을 포함하고,
    상기 제 2 가스 공급 공정은 상기 피처리체를 250℃ 이상 350℃ 미만으로 가열하는 공정을 포함하는 것을 특징으로 하는
    에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 1 가스 공급 공정과 상기 제 2 가스 공급 공정으로 이루어지는 사이클을 반복하여 실행하는 공정을 포함하는 것을 특징으로 하는
    에칭 방법.
  3. 삭제
  4. 삭제
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 분해 억제 가스는 수소 가스, NH3 가스 및 H2S 가스 중 하나인 것을 특징으로 하는
    에칭 방법.
  6. 표면에 코발트막이 형성된 피처리체를 에칭 가스의 분해가 억제되는 250℃ 이하의 온도로 가열하면서 β-디케톤으로 이루어지는 에칭 가스와, 상기 코발트막을 산화하기 위한 산화 가스를, 상기 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율이 0.5% 내지 50%가 되도록 상기 피처리체에 공급하여, 상기 코발트막을 에칭하는 공정을 포함하며,
    상기 산화 가스는 일산화질소 가스 또는 오존 가스인 것을 특징으로 하는
    에칭 방법.
  7. 삭제
  8. 처리 용기 내에 마련되며, 표면에 코발트막이 형성된 피처리체를 탑재하는 탑재대와,
    상기 코발트막을 산화하기 위한 산화 가스를 상기 피처리체에 공급하는 산화 가스 공급부와,
    β-디케톤으로 이루어지는 에칭 가스를 상기 피처리체에 공급하는 에칭 가스 공급부와,
    상기 에칭 가스의 분해를 억제하기 위한 분해 억제 가스를 상기 피처리체에 공급하는 분해 억제 가스 공급부와,
    상기 산화 가스를 상기 피처리체에 공급하는 제 1 단계와, 이어서 상기 에칭 가스 및 상기 분해 억제 가스를 상기 피처리체에 공급하는 제 2 단계를 실행하도록 제어 신호를 출력하는 제어부를 포함하고,
    상기 제 2 단계에서는 상기 피처리체를 250℃ 이상 350℃ 미만으로 가열하도록 하는 것을 특징으로 하는
    에칭 장치.
  9. 처리 용기 내에 마련되며, 표면에 코발트막이 형성된 피처리체를 탑재하는 탑재대와,
    상기 탑재대에 탑재된 피처리체를 가열하는 가열부와,
    β-디케톤으로 이루어지는 에칭 가스와, 상기 코발트막을 산화하기 위한 산화 가스를 공급하는 가스 공급부와,
    상기 코발트막을 에칭하기 위해서 피처리체를 에칭 가스의 분해가 억제되는 250℃ 이하의 온도로 가열하면서 상기 에칭 가스의 유량에 대한 상기 산화 가스의 유량의 비율이 0.5% 내지 50%가 되도록 상기 에칭 가스 및 산화 가스를 상기 피처리체에 공급하도록 제어 신호를 출력하는 제어부를 포함하며,
    상기 산화 가스는 일산화질소 가스 또는 오존 가스인 것을 특징으로 하는
    에칭 장치.
  10. 삭제
KR1020160093555A 2015-07-27 2016-07-22 에칭 방법 및 에칭 장치 KR101898329B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2015-147846 2015-07-27
JP2015147846A JP6529371B2 (ja) 2015-07-27 2015-07-27 エッチング方法及びエッチング装置

Publications (2)

Publication Number Publication Date
KR20170013169A KR20170013169A (ko) 2017-02-06
KR101898329B1 true KR101898329B1 (ko) 2018-09-12

Family

ID=57882889

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160093555A KR101898329B1 (ko) 2015-07-27 2016-07-22 에칭 방법 및 에칭 장치

Country Status (5)

Country Link
US (1) US9991138B2 (ko)
JP (1) JP6529371B2 (ko)
KR (1) KR101898329B1 (ko)
CN (1) CN106409656B (ko)
TW (1) TWI691616B (ko)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018128079A1 (ja) 2017-01-04 2018-07-12 セントラル硝子株式会社 ドライエッチング方法及びβ-ジケトン充填済み容器
KR102308032B1 (ko) * 2017-01-04 2021-10-05 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법 및 에칭 장치
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102244395B1 (ko) * 2018-03-30 2021-04-23 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7063117B2 (ja) * 2018-03-30 2022-05-09 東京エレクトロン株式会社 エッチング方法及びエッチング装置
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11515167B2 (en) * 2019-02-01 2022-11-29 Hitachi High-Tech Corporation Plasma etching method and plasma processing apparatus
CN111837221B (zh) 2019-02-14 2024-03-05 株式会社日立高新技术 半导体制造装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN110545624B (zh) * 2019-10-12 2021-02-12 中山市宝悦嘉电子有限公司 一种线路板蚀刻用蚀刻机上盖装置
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
WO2021192210A1 (ja) * 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
CN113707599A (zh) * 2020-05-21 2021-11-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JPWO2022080288A1 (ko) * 2020-10-16 2022-04-21
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102681951B1 (ko) 2023-10-31 2024-07-05 인하대학교 산학협력단 코발트 박막의 건식 식각방법
KR102688217B1 (ko) 2023-10-31 2024-07-25 인하대학교 산학협력단 코발트 박막의 고밀도 플라즈마 식각방법
KR102688218B1 (ko) 2023-10-31 2024-07-25 인하대학교 산학협력단 순환 식각을 이용한 코발트 박막의 식각 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004091829A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd エッチング方法及びエッチング装置
JP2015012243A (ja) 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0180242B1 (en) * 1984-11-02 1991-01-23 Teijin Limited Magnetic recording medium, method for producing the same, and method of recording and reproduction using the same and magnetic head
US5094701A (en) 1990-03-30 1992-03-10 Air Products And Chemicals, Inc. Cleaning agents comprising beta-diketone and beta-ketoimine ligands and a process for using the same
JP2697602B2 (ja) * 1993-06-24 1998-01-14 日本電気株式会社 半導体装置の製造方法
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
JP2000138202A (ja) * 1998-10-30 2000-05-16 Nec Corp 半導体装置の製造方法及び製造装置
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
JP4706260B2 (ja) * 2004-02-25 2011-06-22 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
JP5259125B2 (ja) * 2006-08-24 2013-08-07 富士通セミコンダクター株式会社 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
JP2010083700A (ja) * 2008-09-30 2010-04-15 Dainippon Printing Co Ltd コバルト酸化物膜を有する積層体
JP5811540B2 (ja) * 2011-01-25 2015-11-11 東京エレクトロン株式会社 金属膜の加工方法及び加工装置
WO2013073711A1 (ja) * 2011-11-18 2013-05-23 独立行政法人科学技術振興機構 アモルファス導電性酸化物膜の形成方法
JP6041709B2 (ja) * 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
JP6142676B2 (ja) * 2013-05-31 2017-06-07 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング装置、金属膜及びそれを備えたデバイス
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9576810B2 (en) * 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6199250B2 (ja) * 2014-07-25 2017-09-20 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004091829A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd エッチング方法及びエッチング装置
JP2015012243A (ja) 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法

Also Published As

Publication number Publication date
TW201716633A (zh) 2017-05-16
TWI691616B (zh) 2020-04-21
KR20170013169A (ko) 2017-02-06
JP6529371B2 (ja) 2019-06-12
US9991138B2 (en) 2018-06-05
US20170032990A1 (en) 2017-02-02
JP2017028198A (ja) 2017-02-02
CN106409656B (zh) 2019-06-25
CN106409656A (zh) 2017-02-15

Similar Documents

Publication Publication Date Title
KR101898329B1 (ko) 에칭 방법 및 에칭 장치
KR101785783B1 (ko) 에칭 방법, 에칭 장치 및 기억 매체
WO2017134930A1 (ja) エッチング方法及びエッチング装置
TWI838472B (zh) 乾式蝕刻方法、半導體裝置之製造方法及蝕刻裝置
KR102308032B1 (ko) 드라이 에칭 방법 및 에칭 장치
KR100919076B1 (ko) 피처리체의 산화 방법 및 산화 장치
TWI725194B (zh) 蝕刻方法及蝕刻裝置
JP6854844B2 (ja) エッチング方法及びエッチング装置
WO2017010194A1 (ja) 自然酸化膜除去方法及び自然酸化膜除去装置
KR102647983B1 (ko) 부착물 제거 방법 및 성막 방법
TWI804787B (zh) 鈍化膜之製造方法
JP4765055B2 (ja) 銅表面の処理方法
US10998199B2 (en) Etching method and etching apparatus
KR101858315B1 (ko) 성막 방법
TWI782197B (zh) 蝕刻方法及蝕刻裝置
CN111902918A (zh) 半导体装置的制造方法、基板处理装置以及程序
KR102392389B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
JP2010040550A (ja) シリコンウエハ及び/又はシリコン系部材の洗浄方法
KR20240000472A (ko) 표면 처리 방법, 드라이 에칭 방법, 클리닝 방법, 반도체 디바이스의 제조 방법 및 에칭 장치
CN117758231A (zh) 衬底处理方法、半导体器件的制造方法、衬底处理装置及记录介质
Murota et al. Atomically controlled processing for dopant segregation in CVD silicon and germanium epitaxial growth
KR20240119153A (ko) 부착물 제거 방법 및 성막 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant