TWI476815B - 於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構 - Google Patents

於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構 Download PDF

Info

Publication number
TWI476815B
TWI476815B TW098113229A TW98113229A TWI476815B TW I476815 B TWI476815 B TW I476815B TW 098113229 A TW098113229 A TW 098113229A TW 98113229 A TW98113229 A TW 98113229A TW I476815 B TWI476815 B TW I476815B
Authority
TW
Taiwan
Prior art keywords
forming
consumable material
substrate
consumable
trenches
Prior art date
Application number
TW098113229A
Other languages
English (en)
Other versions
TW200952041A (en
Inventor
Kunal R Parekh
John K Zahurak
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200952041A publication Critical patent/TW200952041A/zh
Application granted granted Critical
Publication of TWI476815B publication Critical patent/TWI476815B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/2815Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Description

於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構
本發明之實施例係關於積體電路製造,且更具體言之,係關於在半導體結構上形成不對稱特徵之方法及包含不對稱特徵之半導體結構。
由於許多因素(包含針對現代電子儀器中之增加攜帶性、計算能力、記憶體容量及能量效率的需求),積體電路之大小正持續地減小。為了促進此大小減小,形成積體電路之組成特徵(諸如,電力裝置及互連線寬度)之大小亦正不斷地減小。
特徵大小之持續減小對用以形成特徵之技術寄予愈來愈大的需求。舉例而言,光微影為在基板上圖案化特徵(諸如,導線)之習知方法。可使用間距概念來描述此等特徵之大小。可將間距界定為兩個相鄰特徵中之相同點之間的距離。此等特徵通常係由鄰近特徵之間的間隔界定,間隔可由諸如絕緣體之材料填充。結果,可將間距視為特徵之寬度與將彼特徵與相鄰特徵隔離之空間之寬度的總和,或特徵之一邊緣與下一鄰近特徵之對應相同邊緣之間的距離。然而,歸因於諸如光學儀器及光或輻射波長之因素,光微影技術具有一最小間距,在低於該最小間距時,特定光微影技術不能可靠地形成特徵。因此,光微影技術之最小間距可限制特徵大小減小。
已提議間距加倍或間距倍增技術以用於延伸光微影技術之能力。間距倍增方法之一實例係說明於本文中之圖1A至圖1F中且描述於頒予Lowrey等人之美國專利第5,328,810號中,該專利之全部揭示係以引用之方式併入本文中。參看圖1A,可首先使用光微影以在上覆於消耗性材料層20及基板30之光阻材料中形成線10之圖案。如圖1B所示,可接著藉由蝕刻步驟(較佳地為各向異性)而將圖案轉印至層20,從而形成替代符號(placeholder)或心軸40。如圖1C所示,可剝離線10且可各向同性地蝕刻心軸40以增加相鄰心軸40之間的距離。如圖1D所示,可隨後將材料層50沈積於心軸40上。如圖1E所示,可接著藉由以指向間隔物蝕刻來優先自水平表面70及80蝕刻間隔物材料而在心軸40之側壁上形成間隔物60,亦即,自另一材料之側壁延伸或原先自另一材料之側壁延伸而形成的材料。如圖1F所示,可接著移除剩餘心軸40,從而留下獨立間隔物60。如圖1F所示,間隔物60充當用於圖案化下伏層之蝕刻遮罩。因此,在給定間距先前包含界定一個特徵及一個空間之圖案的情況下,相同寬度現包含兩個特徵及兩個空間。結果,在光微影技術下為可能的最小特徵大小有效地減小。
然而,習知間距加倍過程係受限制的,此在於:其不能可靠地用以將(例如)對稱性係由於場區域上之通過字線之移除而破壞之DRAM陣列中的不對稱特徵進行間距加倍。在陣列閘極圖案化級下出現問題,因為需要在一間距上界定三個特徵:場上之兩個字線及一接地閘極。場上之接地閘極平衡圖案密度以及確保可執行線性自對準接觸蝕刻以形成用於將插塞傳導至儲存及位元接觸主動區域之空腔。習知間距加倍在此情況下不有效,因為關於所有其他經圖案化形式之經間距加倍特徵不會界定用於字線相對於字線及接地閘極之正確間隙。因此,在此項技術中存在針對將不對稱特徵進行間距加倍之方法及包含此等次微影特徵之半導體結構的持續需要。
以下描述參看圖式而提供本發明之裝置及方法之實施例的說明性實例。此描述僅係出於說明性目的且並非限制本發明之範疇。本文中所呈現之圖式未必按比例繪製且不為特定半導體結構或其製造過程之實際視圖,而僅為用以描述本發明之實施例的理想化表示。一般熟習此項技術者應理解,可根據本發明而實施裝置及方法之其他實施例。
本發明揭示包含至少一不對稱次微影特徵之半導體結構,同時揭示形成此等半導體結構之方法。間距加倍過程可用以在基板上形成分離主動區域。不對稱特徵可破壞基板上之線與空間之間的對稱性。如本文中詳細地所描述且如圖3至圖10B所說明,可在基板上形成遮罩材料且在基板上於第一方向上將遮罩材料圖案化以形成主動區域圖案。可形成主動區域遮罩且在基板上於大體上垂直方向上將主動區域遮罩圖案化且在鄰近於其而形成間隔物之後將其移除。間隔物可在後續蝕刻期間充當遮罩,使得在間隔物之間形成第一渠溝以分離基板上之主動區域。
隨後,可在基板上形成凹入存取裝置(RAD)渠溝。如本文中詳細地所描述且如圖11A至圖15所說明,可在分離主動區域上形成多種遮罩材料且將其圖案化。可鄰近於遮罩材料而形成間隔物,且在移除間隔物之後,遮罩材料可在後續蝕刻期間充當遮罩以形成次微影渠溝。藉由非限制性實例,渠溝可為記憶體陣列之RAD渠溝、FIN渠溝、雙FIN渠溝或字線。
接著,可在基板上形成記憶體陣列之導線及接地閘極。如本文中詳細地所描述且如圖16A至圖20所說明,可在渠溝上方形成交替遮罩材料及間隔物且將其圖案化。可移除遮罩材料,且間隔物可在後續蝕刻期間充當遮罩以形成與渠溝之連接。
以下描述提供特定細節(諸如,材料類型、蝕刻化學物及處理條件),以便提供本發明之實施例的詳盡描述。然而,一般熟習此項技術者應理解且瞭解,可在不使用此等特定細節之情況下實踐本發明之此等及其他實施例。實際上,可結合工業中所使用之習知製造技術及蝕刻技術來實踐本發明之實施例,因此,未在本文中對其加以詳細地描述。此外,下文中所提供之描述不形成用於製造半導體裝置之完整過程流程。本文中所描述之半導體結構不形成完整半導體裝置。下文僅詳細地描述為理解本發明之實施例所必要的彼等過程動作及半導體結構。用以自半導體結構形成完整半導體裝置之額外動作可藉由習知製造技術而執行,因此,未在本文中對其加以描述。
本文中所描述之方法可用以形成記憶體裝置(諸如,動態隨機存取記憶體(DRAM))之半導體結構,包含RAD特徵、FinFET、鞍形FET、奈米線、三維電晶體,以及其他三維半導體裝置特徵。併有此等結構之記憶體裝置或其他半導體裝置可用於(無限制)無線裝置、個人電腦或其他電子裝置中。藉由非限制性實例,本文中之方法描述製造記憶體裝置(諸如,DRAM記憶體裝置或RAD記憶體裝置)之半導體結構。雖然本文中之方法描述製造記憶體裝置之半導體結構,但該等方法亦可在需要不對稱特徵之間距加倍的其他情形下使用。此外,雖然參考6F2 DRAM裝置組態或布局而說明本文中所描述之方法,但該等方法可用以形成具有其他布局(諸如(例如),4F2 或8F2 布局)之DRAM裝置或其他半導體裝置,只要分離區域係大體上平行於最終將形成電晶體閘極之位置即可。
本發明之實施例可包含將特徵進行間距加倍,以形成主動區域、在6F2 記憶體陣列之閘極中形成渠溝及/或形成與閘極之連接。圖2展示6F2 記憶體陣列之電路布局之一部分的簡化圖,該記憶體陣列在本文中被稱為包含基板110之記憶體陣列100。可相對於基板110而形成複數個連續主動區域112。為了清楚起見,已將每一所說明連續主動區域112展示成在基板110之邊界外部延伸。連續主動區域112通常為非線性的,其在大體上水平方向上遵循跨越記憶體陣列100之蜿蜒蛇形路徑。將複數個內埋式位元線118展示為大致水平地跨越記憶體陣列100而延伸之陰影區域。每一位元線118亦遵循跨越記憶體陣列100之蜿蜒蛇形路徑,其中位元線118之蜿蜒蛇形迂迴穿行(weave)相對於連續主動區域112之迂迴穿行係在相反方向上。
可在基板110上相對於主動區域112而形成複數個導線120、134。在圖2中,將導線中之六者表示為120,而將導線中之兩者表示為134。可在導線134之任一側上形成一對導線120。導線120、134相對於主動區域112而大體上垂直地延行。
藉由經表示為數字125之虛線輪廓來說明由根據所描繪記憶體陣列之單一記憶體單元所佔用的個別區域。此區域可被認為或描述為關於尺寸「F」,其為最小特徵大小。在所說明實例中,F等於記憶體陣列之「最小間距」的一半。本文中所使用之術語「間距」意欲用於其習知用法中,且(如先前所提及)可被界定為裝置或特徵之一邊緣與下一鄰近裝置或特徵之對應相同邊緣之間的距離。因此,關於記憶體單元125,術語「最小間距」約等於線寬(諸如,導線120、134)加在導線120之於導線120與下一鄰近導線120(在記憶體單元125內之重複圖案中)之間的一側上緊鄰於導線120之空間之寬度的最小距離。如所展示,單一記憶體單元125為約3F寬乘約2F深,因此向單一記憶體單元125提供約6F2 之佔用面積。
在記憶體陣列100(諸如,圖2所描繪之記憶體陣列)之實施之實例中,選定個別導線可相對於鄰近記憶體單元125而提供電分離。舉例而言,如所描繪,導線120相對於個別記憶體單元125而用作字線。鄰近對之記憶體單元125之間的電分離係由插入導線134提供,導線134在操作中可與接地或合適負電壓連接。或者,可利用場氧化物分離技術。
圖2所描繪之記憶體陣列100可另外包含電容器容器136及位元線觸點138。電容器通常可形成於電容器容器136內且可經由儲存節點觸點140而耦接至主動區域。在特定態樣中,儲存節點觸點140可包含延伸至主動區域之節點部分的導電材料。
參看圖2應注意,所描繪之電容器容器136具有大體上等於記憶體單元125之間距的間距。換言之,每一電容器容器136之寬度(在頁面上之垂直方向上)加緊鄰之電容器容器之間的空間之寬度(在頁面上之垂直方向上)大體上等於圖案間距「P」,其中P為線寬「W」加緊鄰於該線之空間「S」之寬度的總和,如圖2所描繪。
為了形成圖2所描繪之記憶體陣列100,結合上覆於基板110之材料的大體上垂直蝕刻而使用遮罩材料以在基板110中或在基板110上形成自對準特徵。如本文中所使用,「自對準」意謂及包含使用單一光罩來形成其他特徵所基於之初始圖案。因而,形成於基板110上之特徵係在未利用額外遮罩及光微影動作之情況下對準。基板可為包括半導電材料層之習知矽基板或另一塊體基板。如本文中所使用,術語「塊體基板」不僅意謂及包含矽晶圓,而且意謂及包含絕緣體上矽(「SOI」)基板(諸如,藍寶石上矽(「SOS」)基板及玻璃上矽(「SOG」)基板)、基底半導體座上之矽磊晶層及其他半導體或光電子材料(諸如,矽-鍺、鍺、砷化鎵、氮化鎵及磷化銦)。
圖3至圖10B描繪處於使用間距加倍以在基板110上形成分離主動區域之各種製造階段之半導體結構200的實施例。圖3描繪具有各種材料之半導體結構200,該等材料可包含在基板110上之絕緣材料250、可選蝕刻終止材料260、第一消耗性材料212及可選擇性界定材料210。絕緣材料250、可選蝕刻終止材料260、消耗性材料212及可選擇性界定材料210可共同被稱為「遮罩材料」。雖然在基板110上以層之形式而說明該等材料,但該等材料亦可以其他組態而形成。本文中所描述之遮罩材料可藉由任何合適沈積技術而形成,該技術包含(但不限於)旋轉塗佈、毯覆式塗佈、化學氣相沈積(「CVD」)、原子層沈積(「ALD」)、電漿增強ALD或物理氣相沈積(「PVD」)。視待使用之特定材料而定,一般熟習此項技術者可選擇用於形成遮罩材料之技術。
藉由非限制性實例,基板110係由矽形成,諸如,矽半導體基板。絕緣材料250可沈積於基板110上。絕緣材料250可為氧化矽,諸如,正矽酸四乙酯(「TEOS」)、二氧化矽(「SiO2 」)或高密度電漿(「HDP」)氧化物。絕緣材料250可熱生長於基板110上。藉由非限制性實例,絕緣材料250可具有在大約25至大約75之範圍內的厚度。在一實施例中,絕緣材料250為SiO2 且熱生長於基板110上。
蝕刻終止材料260(若存在)可沈積於絕緣材料250上。蝕刻終止材料260可在上覆材料之化學機械平面化(「CMP」)期間充當有效蝕刻終止。蝕刻終止材料260可為氮化物材料。在一實施例中,蝕刻終止材料260為包含(但不限於)氮化矽(「Si3 N4 」)之氮化物。
消耗性材料212可由可圖案化材料形成,可圖案化材料相對於基板110及半導體結構200之其他曝露下伏材料而為可選擇性蝕刻的。消耗性材料212之材料可為含碳材料、介電抗反射塗層(「DARC」)或底部抗反射塗層(「BARC」)材料。藉由非限制性實例,消耗性材料212可為非晶形碳、透明碳、正矽酸四乙酯(「TEOS」)、氮化矽(「Si3 N4 」)、碳化矽(「SiC」)、矽或介電抗反射塗層(DARC)(諸如,富矽氮氧化物(「SiO3 N4 」))、氧化矽(SiO2 )或其組合。藉由非限制性實例,可以在大約800至大約2500之範圍內的厚度(諸如,以大約2000)而沈積消耗性材料212。可基於對用於本文中所論述之各種圖案形成及圖案轉印步驟之化學物及處理條件的考慮而選擇上覆於基板110之材料。因為消耗性材料212與基板110之間的材料用以將自消耗性材料212所得到之圖案轉印至基板110,所以此等材料經選擇成使得其可相對於其他曝露材料而被選擇性蝕刻。如本文中所使用,當材料展現比曝露至相同蝕刻化學物之其他材料之蝕刻速率大至少大約兩倍的蝕刻速率時,該材料為「可選擇性蝕刻的」。理想地,此材料具有比曝露至相同蝕刻化學物之另一材料之蝕刻速率大至少大約十倍的蝕刻速率。因而,應理解,消耗性材料212、絕緣材料250及蝕刻終止材料260可為使能夠進行如本文中所描述之選擇性移除的材料之任何組合。
可選擇性界定材料210可藉由微影過程而界定,例如,由光阻材料(包含此項技術中已知之任何光阻材料)形成。因為光阻材料及光微影技術在此項技術中為熟知的,所以選擇、沈積、圖案化及顯影光阻材料以產生所要圖案未在本文中加以詳細地論述。
圖4展示在上方具有經圖案化可選擇性界定材料210之半導體結構200的實施例。可選擇性界定材料210中之圖案可包含具有大體上相等寬度之空間及線。空間可對應於可選擇性界定材料210之已移除部分,而線對應於可選擇性界定材料210之剩餘部分。線之寬度可為可由用以形成圖案之光微影技術列印的最小特徵大小(「F」)。或者,可以大於F之特徵大小而列印空間及線。藉由非限制性實例,F可處於大約40nm至大約70nm之範圍內,諸如,大約44nm。雖然圖4說明1F迂迴穿行圖案,但應瞭解,可使用其他布局。
圖4中鄰近線之間的間距等於可選擇性界定材料210之線之寬度與相鄰空間之寬度的總和。為了最小化使用線及空間之此圖案而形成之特徵的臨界尺寸,間距可處於或接近於用以圖案化可選擇性界定材料210之光微影技術的極限。舉例而言,線之間距可在約80nm與約140nm之間。因此,間距可處於光微影技術之最小間距,且下文所論述之間隔物圖案可有利地具有低於光微影技術之最小間距的間距。或者,因為當接近光微影技術之極限時位置及特徵大小之誤差裕度通常增加,所以線可經形成為具有較大特徵大小以最小化線之位置及大小上的誤差且其隨後可經修整至較小大小。
參看圖5A,可將可選擇性界定材料210中之圖案轉印至消耗性材料212中,從而在蝕刻終止材料260(若存在)上形成消耗性結構264。在將圖案轉印至消耗性材料212之後,可藉由習知技術來移除可選擇性界定材料210。在一實施例中,消耗性結構264可為消耗性線。可使用習知蝕刻過程(諸如,習知乾式蝕刻過程、習知濕式蝕刻過程或其組合)而將形成於可選擇性界定材料210中之圖案蝕刻至消耗性材料212中。藉由非限制性實例,可使用乾式蝕刻化學物來蝕刻消耗性材料212,從而產生具有大體上垂直側壁265之消耗性結構264。如本文中所使用,術語「大體上垂直側壁」意謂及包含具有與垂直線成小於大約5°之傾斜角的側壁。在一實施例中,消耗性結構264之寬度可為F。
在移除可選擇性界定材料210之後,可修整消耗性結構264。在本發明之一實施例中,可將消耗性結構264自F修整至1/2F。如圖5B及圖5C所示,可藉由蝕刻消耗性結構264來加寬每一消耗性結構264之間的空間266,以形成經修改空間266a及經修改結構264a(圖5C)。可使用各向同性蝕刻來蝕刻消耗性結構264以「收縮」彼等特徵。合適蝕刻包含使用含氧電漿(例如,SO2 /O2 /N2 /Ar電漿、Cl2 /O2 /He電漿或HBr/O2 /N2 電漿)之蝕刻。蝕刻之程度可經選擇成使得經修改結構264a之寬度大體上等於稍後形成之間隔物268之間的所要間隔,此將自本文中之論述得以瞭解。舉例而言,消耗性結構264之寬度可自約70nm減小至約35nm。有利地,寬度減小蝕刻使經修改結構264a能夠窄於原本使用用以圖案化可選擇性界定材料210之光微影技術可能達到的寬度。此外,蝕刻可使經修改結構264a之邊緣平滑,因此改良彼等線之均一性。
間隔物材料214可形成於半導體結構200之曝露表面上,如圖6A及圖6B所示。可藉由習知技術(諸如,藉由ALD)而將間隔物材料214等形地沈積於經修改結構264a上。藉由等形地沈積間隔物材料214,間隔物材料214之厚度可保持大體上均一,而不管下伏特徵(諸如,經修改結構264a)之幾何形狀及構形。經修改結構264a可相對於間隔物材料214而為可選擇性蝕刻的。僅作為一實例,間隔物材料214可由多晶矽、氮化矽Si3 N4 或氧化矽(「SiOx 」)形成。
參看圖6C,可各向異性地蝕刻間隔物材料214,從而自大體上水平表面移除間隔物材料214,而在大體上垂直表面上留下間隔物材料214。因而,可曝露經修改結構264a之大體上水平表面及半導體結構200之下伏部分的大體上水平表面。若間隔物材料214係由SiOx 形成,則各向異性蝕刻可為電漿蝕刻,諸如,含CF4 電漿、含C2 F6 電漿、含C4 F8 電漿、含CHF3 電漿、含CH2 F2 電漿或其混合物。若間隔物材料214係由氮化矽形成,則各向異性蝕刻可為CHF3 /O2 /He電漿或C4 F8 /CO/Ar電漿。藉由蝕刻而產生之間隔物268可存在於經修改結構264a之經蝕刻部分的大體上垂直側壁上。間隔物268之寬度可對應於最終待形成於半導體結構200上之特徵(諸如,渠溝)的所要寬度。在一實施例中,間隔物268之寬度可為1/2F。如本文中進一步詳細地所論述,具有次微影寬度之第一渠溝222(展示於圖10A及圖10B中)可在基板110中形成於由間隔物268所界定之區域之間。如本文中所使用,「次微影」意謂小於約70nm,諸如,約44nm。在一實施例中,第一渠溝222(展示於圖10A及圖10B中)具有大約1/2F之寬度。
接著,可藉由習知方法而移除經修改結構264a,從而留下間隔物268,如圖7A及圖7B所示。舉例而言,若非晶形碳用作消耗性材料212(經修改結構264a係由其形成),則可使用氧基電漿(諸如,O2 /Cl2 電漿、O2 /HBr電漿或O2 /SO2 /N2 電漿)而移除非晶形碳。
緊接著,可使用乾式蝕刻化學物以將間隔物268之圖案轉印至下伏於經修改結構264a之材料中。或者,可使用多種乾式蝕刻化學物以單獨地蝕刻下伏於經修改結構264a之材料中的每一者。舉例而言,第一蝕刻可將間隔物268之圖案轉印至下伏蝕刻終止材料260(若存在)中,且第二蝕刻可將間隔物268之圖案轉印至基板110中(如圖8所示),從而在其中形成渠溝。適於蝕刻此等材料之蝕刻化學物在此項技術中為已知的,且因此,未在本文中對其加以詳細地描述。
如圖9所示,可以包含開口221以分離具有隨意間距及特徵大小之主動區域230的主動區域遮罩來圖案化半導體結構200之主動區域230。將主動區域230說明為跨越基板110而在大體上水平方向上延伸,而將主動區域遮罩220之開口221說明為大體上垂直於主動區域230而延伸。包含開口221之主動區域遮罩可被認為係跨越基板110而在大體上垂直方向上延伸。主動區域遮罩220可由碳(諸如,非晶形碳或透明碳)形成。為了減小主動區域遮罩中之開口221的尺寸,可視情況將犧牲間隔物材料(未圖示)沈積於開口221之側壁上且將其修整成曝露主動區域遮罩220,使得主動區域遮罩220之開口221由間隔物側接。可將開口221之圖案轉印至基板110以分離主動區域230。可藉由習知蝕刻方法或藉由本文中所描述之方法而轉印主動區域遮罩220中之開口221的圖案。在本發明之一實施例中,可在半導體結構200上形成70nm間距之主動區域230。
如圖10A及圖10B所示,可移除主動區域遮罩220,且可將基板110蝕刻於先前由主動區域遮罩220所遮罩之位置中。若主動區域遮罩220係由間隔物側接,則可移除主動區域遮罩220且可將剩餘間隔物用作遮罩以蝕刻基板110。可藉由離子研磨、反應性離子蝕刻或化學蝕刻而蝕刻基板110。舉例而言,若基板110係由矽形成,則可使用HBr/Cl2 或碳氟化合物電漿蝕刻而各向異性地蝕刻基板110。為了將所要深度蝕刻至由矽形成之基板110中,可控制蝕刻時間。舉例而言,可將矽曝露至適當蝕刻化學物歷經足以在矽中達成所要深度之時間量。
在蝕刻之後,可藉由習知方法(諸如,濕式或乾式蝕刻)而移除間隔物。藉由非限制性實例,若間隔物係由多晶矽形成,則可使用四甲基銨氫氧化物(TMAH)之溶液而蝕刻間隔物。或者,若間隔物係由氮化物形成,則可使用乾式蝕刻化學物而移除間隔物。
參看圖10A,在可為(例如)主動矽之主動區域230之間形成第一渠溝222。如圖10B所示,可將第一填充材料226毯覆式沈積於半導體結構200上且將其密化,如此項技術中已知。第一填充材料226可為二氧化矽基材料,諸如,旋塗式介電質(「SOD」)、二氧化矽、TEOS或高密度電漿(「HDP」)氧化物。可(諸如)藉由化學機械拋光(「CMP」)而平面化第一填充材料226,以移除第一填充材料226之在基板110之矽柱狀物232上方延伸之部分。
主動區域230中之矽柱狀物232及第一渠溝222(展示於圖10A及圖10B中)已在兩個方向上進行間距加倍。應瞭解,雖然間距在上文之實例中實際上減半,但間距之此減小通常被稱為間距「加倍」。應進一步理解,本發明之實施例包含僅在一個方向上進行間距加倍,例如,將矽柱狀物232之寬度或第一渠溝222之寬度進行間距加倍。在本發明之一實施例中,矽柱狀物232之寬度及/或第一渠溝222之寬度可為次微影的。
圖10A及圖10B之半導體結構200'可經受進一步處理。藉由非限制性實例,半導體結構200'可經受額外間距加倍過程以在記憶體陣列之閘極中形成渠溝,如圖11A至圖15所示。參看圖11A,可將第二消耗性材料312沈積於主動區域230上且將其圖案化,如本文中所描述。可相對於第一渠溝222而在大體上平行定向上圖案化第二消耗性材料312。可以F而沈積第二消耗性材料312且將其修整至1/2F。在一實施例中,第二消耗性材料312可為非晶形碳且可使用各向異性蝕刻(諸如,使用碳氟化合物電漿之蝕刻)而進行圖案化,但濕式(各向同性)蝕刻在第二消耗性材料312薄之情況下亦可為合適的。電漿蝕刻化學物可包含(無限制)CF4 、CFH3 、CF2 H2 、CF3 H。
可藉由習知方法而將間隔物材料314沈積於經圖案化第二消耗性材料312上且對其加以修整。可以1/2F而沈積間隔物材料314,使得第二消耗性材料312與間隔物材料314具有為F之組合寬度。可藉由化學氣相沈積或原子層沈積而沈積間隔物材料314。間隔物材料314可為能夠相對於第二消耗性材料312及稍後形成之犧牲材料313而被選擇性移除的任何材料。藉由非限制性實例,間隔物材料314可包含氮化矽及氧化矽。在一實施例中,間隔物材料314與第二消耗性材料312可為相同材料。可各向異性地蝕刻間隔物材料314以自第二消耗性材料312及下伏基板110之水平表面移除間隔物材料314,如圖11B所示。可使用碳氟化合物電漿而執行此蝕刻(亦被稱為間隔物蝕刻)。
緊接著,可將犧牲材料313沈積於第二消耗性材料312及間隔物材料314上。可平面化犧牲材料313以曝露第二消耗性材料312及間隔物材料314,如圖12A、圖12B所示。犧牲材料313可包含與第二消耗性材料312相同之材料,或可為與間隔物材料314相比被選擇性蝕刻之任何材料。
如圖13所示,可相對於第二消耗性材料312及犧牲材料313而選擇性蝕刻間隔物材料314以產生間隙334。剩餘消耗性材料312及犧牲材料313可在蝕刻下伏基板110期間充當遮罩以在間隙334之位置中形成第二渠溝322,如圖14A所示。第二渠溝322可具有為1/2F之寬度。歸因於第二消耗性材料312與犧牲材料313之間隔,第二渠溝322可不對稱地定位於基板110上。可藉由離子研磨、反應性離子蝕刻或化學蝕刻而蝕刻基板110。舉例而言,若基板係由矽形成,則可使用HBr/Cl2 或碳氟化合物電漿蝕刻而各向異性地蝕刻基板。為了將所要深度蝕刻至由矽形成之基板中,可控制蝕刻時間。舉例而言,可將矽曝露至適當蝕刻化學物歷經足以在矽中達成所要深度之時間量。
在本發明之實施例中,第二渠溝322可為凹入存取裝置或「RAD」渠溝。如本文中所使用,「RAD渠溝」意謂及包含基板中之最終形成有RAD電晶體之開口。RAD電晶體之一實例包含部分地形成於半導體基板中之渠溝內的電晶體閘極(字線)。
在蝕刻之後,可藉由習知方法而移除第二消耗性材料312及犧牲材料313。在移除第二消耗性材料312及犧牲材料313之後剩餘之圖案可包含具有陣列主動區域圖案的主動區域230之矽柱狀物332(亦被稱為「基座」或「鰭狀物」)及第二渠溝322(閘極渠溝),如圖14B所示。因此,對於閘極中之第二渠溝322而言,已發生間距加倍。在本發明之一實施例中,第二渠溝322具有次微影寬度。
應理解,第二消耗性材料312、間隔物材料314及犧牲材料313可經選擇成使得間隔物材料314可相對於第二消耗性材料312及犧牲材料313而為可選擇性移除的。因此,在一實施例中,第二消耗性材料312與犧牲材料313可為相同材料。第二消耗性材料312、犧牲材料313及間隔物材料314中之每一者可選自非晶形碳或透明碳、多晶矽、二氧化矽及氮化矽,使得間隔物材料314可相對於第二消耗性材料312及犧牲材料313而為可選擇性移除的。用於第二消耗性材料312及犧牲材料313之材料可經選擇成耐受後續渠溝蝕刻化學物。
參看圖15,在形成第二渠溝322之後,可藉由習知方法而生長閘極氧化物材料370。緊接著,可藉由習知方法而形成各種毯覆式電晶體閘極材料以形成圖15之半導體結構200"。毯覆式電晶體閘極材料可由具有合適功函數之材料(諸如,摻雜多晶矽372或金屬(亦即,TiN)、導體374(例如,鎢)及氮覆蓋材料376)形成。半導體結構200"可經受進一步習知處理以在第二渠溝322中產生電晶體。
圖15之半導體結構200"可經受進一步處理,如圖16所示。藉由非限制性實例,半導體結構200"可經受間距加倍以形成經由字線(亦即,導線)而與電晶體閘極之連接。第一消耗性材料412可沈積於半導體結構200"上。可藉由習知方法或本文中所描述之方法而圖案化第一消耗性材料412以曝露下伏第二渠溝322。
參看圖17,第一間隔物材料414可沈積於半導體結構200"上。可藉由習知方法而平面化及修整第一間隔物材料414。第一間隔物材料414之厚度可界定電晶體閘極之臨界尺寸。參看圖18,可將第二消耗性材料402沈積於半導體結構200"上且將其平面化以曝露第一間隔物材料414及第一消耗性材料412。可將第二消耗性材料402修整至所要寬度,該所要寬度經選擇以留下具有與第一間隔物材料414類似之寬度的開口。緊接著,可將第二間隔物材料404沈積於半導體結構200"上且將其平面化以曝露第一間隔物材料414、第一消耗性材料412及第二消耗性材料402,如圖18所示。第二間隔物材料404之厚度可界定接地閘極之臨界尺寸。第一間隔物材料414及第二間隔物材料404可具有次微影寬度。
如圖19所示,可藉由習知技術而選擇性移除第一消耗性材料412及第二消耗性材料402。在本發明之一實施例中,第一消耗性材料412及第二消耗性材料402為非晶形碳且係藉由習知方法(例如,藉由使用含SO2 電漿)而進行移除。接著,第一間隔物材料414及第二間隔物材料404可在習知蝕刻期間充當遮罩以形成導線425,如圖20所示。導線425可充當字線425'及接地閘極425"。與下伏主動區域之間的間隙相比,相鄰字線425'之間的間隙為不對稱的。在本發明之一實施例中,導線425具有次微影寬度。
藉由利用本發明之實施例的用以形成半導體結構200'、200"、200'"之方法而達成許多優勢。本文中所描述之方法適應在陣列閘極圖案化時6F2 架構之間距加倍。本文中所描述之方法適應待於未按比例調整所需微影之情況下顯著地按比例調整的6F2 架構。因而,可獨立於光微影及蝕刻修整能力而形成次微影特徵。另外,本發明之實施例之方法提供在非相等線空間上之不對稱特徵之間距加倍。應理解,亦可在其他製造過程期間使用本文中所描述之方法,(例如)以界定線性自對準接觸特徵(諸如,電容器、數位線或其他次微影特徵)。半導體結構200'、200"、200'"可經受習知處理動作以產生圖2所示之記憶體陣列100。因為用以形成記憶體陣列100之額外處理動作為習知的,所以此等動作未在本文中加以詳細地描述。
除了在圖式中藉由實例而展示且在本文中加以詳細地描述之特定實施例以外,本發明亦可容許各種修改及替代形式。因此,本發明不限於所揭示之特定形式。更確切而言,本發明之範疇涵蓋落入以下隨附申請專利範圍及其合法等效物內之所有修改及替代。
1/1/2F‧‧‧間隔物268之寬度
2F‧‧‧記憶體單元125之深度
3F‧‧‧記憶體單元125之寬度
10‧‧‧線
20‧‧‧消耗性材料層
30‧‧‧基板
40...心軸
50...材料層
60...間隔物
70...水平表面
80...水平表面
100...記憶體陣列
110...基板
112...連續主動區域
118...內埋式位元線
120...導線
125...記憶體單元
134...導線
136...電容器容器
138...位元線觸點
140...儲存節點觸點
200...半導體結構
200'...半導體結構
200"...半導體結構
200'"...半導體結構
210...可選擇性界定材料
212...第一消耗性材料
214...間隔物材料
220...主動區域遮罩
221...開口
222...第一渠溝
226...第一填充材料
230...主動區域
232...矽柱狀物
250...絕緣材料
260...可選蝕刻終止材料
264...消耗性結構
264a...經修改結構
265...大體上垂直側壁
266...空間
266a...經修改空間
268...間隔物
312...第二消耗性材料
313...犧牲材料
314...間隔物材料
322...第二渠溝
332...矽柱狀物
334...間隙
370...閘極氧化物材料
372...摻雜多晶矽
374...導體
376...氮覆蓋材料
402...第二消耗性材料
404...第二間隔物材料
412...第一消耗性材料
414...第一間隔物材料
425...導線
425'...字線
425"...接地閘極
F...最小特徵大小
P...圖案間距
S...空間
W...線寬
圖1A至圖1F為根據習知間距加倍方法而形成之遮罩線的示意性橫截面圖;圖2為用於6F2 記憶體陣列之一組態之電路布局的簡化平面圖;圖3為處於處理中之初步階段之工件之實施例的橫截面圖;圖4為處於處理步驟中在圖3所說明之階段之後的階段之工件之實施例的平面圖;圖5A為半導體結構之實施例的俯視圖,且圖5B為一中間半導體裝置的橫截面圖;圖5C為處於處理中在圖5B所說明之階段之後的階段之半導體結構之實施例的橫截面圖;圖6A為半導體結構之實施例的俯視圖,且圖6B為圖6A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖6C為處於處理步驟中在圖6B所說明之階段之後的階段之半導體結構之實施例的橫截面圖;圖7A為半導體結構之實施例的俯視圖,且圖7B為圖7A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖8為處於處理步驟中在圖7B所說明之階段之後的階段之半導體結構之實施例的橫截面圖;圖9為處於處理中在圖8所說明之階段之後的階段之半導體結構之實施例的俯視圖;圖10A為半導體結構之實施例的俯視圖,且圖10B為圖10A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖11A為半導體結構之實施例的俯視圖,且圖11B為圖11A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖12A為處於處理中在圖11B所說明之階段之後的階段 之半導體結構之實施例的俯視圖,且圖12B為圖12A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖13為處於處理中在圖12B所說明之階段之後的階段之半導體結構之實施例的俯視圖;圖14A為半導體結構之實施例的俯視圖,且圖14B為圖14A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;圖15為處於處理中在圖14B所說明之階段之後的階段之半導體結構之實施例的橫截面圖;圖16為處於處理中在圖15所說明之階段之後的階段之半導體結構之實施例的俯視圖;圖17及圖18A為在各種製造階段期間半導體結構之實施例的俯視圖;圖18B為圖18A中沿著標記為A之虛線所截取之半導體結構的橫截面圖;且圖19及圖20為在各種製造階段期間半導體結構之實施例的俯視圖。
200'"...半導體結構
230...主動區域
425...導線
425'...字線
425"...接地閘極

Claims (19)

  1. 一種形成半導體結構之方法,該方法包括:在一基板上形成一第一消耗性材料;在該基板上於一第一方向上圖案化該第一消耗性材料;修整該第一消耗性材料;在修整該第一消耗性材料之後,在該第一消耗性材料之側壁上形成第一間隔物之一圖案;移除該第一消耗性材料;將第一間隔物之該圖案轉印至該基板中;在該基板上於一第二方向上圖案化一第二消耗性材料;在該第二消耗性材料之側壁上形成第二間隔物之一圖案;移除該第二消耗性材料;及將第二間隔物之該圖案轉印至該基板中以在該等第二間隔物之間形成渠溝。
  2. 如請求項1之方法,其進一步包括:將該第一消耗性材料修整至一次微影寬度。
  3. 如請求項1之方法,其進一步包括:利用一絕緣材料而填充該等渠溝。
  4. 如請求項1之方法,其中該等渠溝具有一次微影寬度。
  5. 一種在一半導體結構中形成次微影渠溝之方法,該方法包括: 在一基板上形成一消耗性材料,在其上形成該消耗性材料之該基板包括在其上形成該消耗性材料之前之分離主動區域;圖案化該消耗性材料;在該消耗性材料之側壁上形成間隔物;鄰近於該等間隔物而形成一犧牲材料;移除該等間隔物以在該犧牲材料與該消耗性材料之間形成複數個間隙;及經由該複數個間隙而蝕刻該基板以在其中形成複數個渠溝,該等溝渠個別地延伸橫越多個該分離主動區域。
  6. 如請求項5之方法,其進一步包括:選擇該消耗性材料及該犧牲材料以包括相同材料。
  7. 如請求項6之方法,其中選擇該消耗性材料及該犧牲材料包括:自由非晶形碳、透明碳、多晶矽、二氧化矽及氮化矽組成之群組選擇該消耗性材料及該犧牲材料。
  8. 如請求項5之方法,其中經由該複數個間隙而蝕刻該基板以在其中形成複數個渠溝包括:在該基板中形成複數個矽鰭狀物。
  9. 如請求項5之方法,其進一步包括:在該複數個渠溝內形成複數個電晶體閘極。
  10. 如請求項5之方法,其進一步包括:將該等間隔物形成為具有小於一最小特徵大小之一寬度。
  11. 如請求項5之方法,其中在該基板中形成複數個渠溝包括:形成複數個凹入主動裝置渠溝。
  12. 如請求項5之方法,其中經由該複數個間隙而蝕刻該基板以在其中形成複數個渠溝包括:形成相對於該等分離主動區域而不對稱地定位之該複數個渠溝。
  13. 一種在一半導體結構上形成導線之方法,該方法包括:在包括分離主動區域及次微影閘極渠溝之一基板上形成一第一消耗性材料;圖案化該第一消耗性材料;在該第一消耗性材料之側壁上形成間隔物;鄰近於該等間隔物而形成一第二消耗性材料,該第二消耗性材料及該第一消耗性材料在該基板上界定開口;在形成該第二消耗性材料之後且在界定該等開口之後,在該等開口內形成一犧牲材料;移除該第一消耗性材料及該第二消耗性材料;在該基板中於該等間隔物與該犧牲材料之間形成渠溝;及利用一導電材料而填充該等渠溝。
  14. 如請求項13之方法,其中在該等開口內形成一犧牲材料包括:在鄰近於該基板上之主動區域之分離區域上形成該犧牲材料。
  15. 如請求項13之方法,其中在該第一消耗性材料之側壁上形成間隔物包括:形成與該基板中之下伏閘極渠溝大體上垂直對準的該等間隔物。
  16. 如請求項13之方法,其中鄰近於該等間隔物而形成一第二消耗性材料包括:將該第二消耗性材料沈積於該等間 隔物上,且將該第二消耗性材料修整成界定具有大體上類似於該等間隔物之一寬度之一寬度的該等開口。
  17. 如請求項13之方法,其進一步包括:在該基板上形成一第一消耗性材料之前在該基板上形成該等分離主動區域,且利用具有一次微影寬度之分離區域而隔離該等分離主動區域。
  18. 一種在一半導體結構上形成導線之方法,該方法包括:在包括分離主動區域及次微影閘極渠溝之一基板上形成一第一消耗性材料;圖案化該第一消耗性材料;在該第一消耗性材料之側壁上形成間隔物;鄰近於該等間隔物而形成一第二消耗性材料,該第二消耗性材料及該第一消耗性材料在該基板上界定開口;在該等開口內形成一犧牲材料;移除該第一消耗性材料及該第二消耗性材料;在該基板中於該等間隔物與該犧牲材料之間形成渠溝;利用一導電材料而填充該等渠溝;及進一步包括在該基板上形成一第一消耗性材料之前在該基板中形成該等次微影閘極渠溝。
  19. 一種半導體結構,其包括:由分離區域隔離之複數個主動區域,該複數個主動區域包含凹入存取裝置渠溝,該等凹入存取裝置渠溝個別地在其中具有小於一最小特徵大小之一寬度及在該等凹 入存取裝置渠溝中之導電閘極材料;及在高度上向該複數個主動區域及凹入存取裝置渠溝外之複數個導線,該複數個導線之某些與在該凹入存取裝置渠溝中之導電閘極材料連接,該複數個導線之該某些包括字線,且進一步包括該複數個導線之另一某些,其包括接地閘極線,該等字線之二者係容納於該等接地閘極線之緊鄰二者之間。
TW098113229A 2008-05-05 2009-04-21 於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構 TWI476815B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/114,932 US7989307B2 (en) 2008-05-05 2008-05-05 Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same

Publications (2)

Publication Number Publication Date
TW200952041A TW200952041A (en) 2009-12-16
TWI476815B true TWI476815B (zh) 2015-03-11

Family

ID=41256553

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098113229A TWI476815B (zh) 2008-05-05 2009-04-21 於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構

Country Status (7)

Country Link
US (4) US7989307B2 (zh)
EP (1) EP2274768A4 (zh)
KR (2) KR101262471B1 (zh)
CN (1) CN102017073B (zh)
SG (1) SG188110A1 (zh)
TW (1) TWI476815B (zh)
WO (1) WO2009137210A2 (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8470654B2 (en) 2010-02-23 2013-06-25 Micron Technology, Inc. Methods of forming an electrically conductive buried line and an electrical contact thereto and methods of forming a buried access line and an electrical contact thereto
KR101150639B1 (ko) * 2010-06-17 2012-07-03 에스케이하이닉스 주식회사 반도체 소자의 패턴 형성 방법
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
US8293602B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Method of fabricating a finFET having cross-hair cells
US9048327B2 (en) * 2011-01-25 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Microcrystalline semiconductor film, method for manufacturing the same, and method for manufacturing semiconductor device
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
JP5818710B2 (ja) * 2012-02-10 2015-11-18 東京応化工業株式会社 パターン形成方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20140054756A1 (en) * 2012-08-23 2014-02-27 Michael Hyatt Anti spacer process and semiconductor structure generated by the anti spacer process
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
CN103928392B (zh) * 2013-01-10 2017-05-17 中芯国际集成电路制造(上海)有限公司 局部互连结构的制作方法
TWI645254B (zh) 2013-01-14 2018-12-21 美國加利福尼亞大學董事會 具經控制之總成及提升排序之含矽嵌段共聚物之組合物
KR20150140299A (ko) * 2013-04-01 2015-12-15 피에스4 뤽스코 에스.에이.알.엘. 반도체 장치 및 그 제조 방법
US8993419B1 (en) 2013-10-03 2015-03-31 Applied Materials, Inc. Trench formation with CD less than 10 NM for replacement Fin growth
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
JP6198713B2 (ja) * 2013-12-20 2017-09-20 ザ リージェンツ オブ ザ ユニバーシティー オブ カリフォルニア 接合部官能性ブロックコポリマ
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9564342B2 (en) 2014-09-26 2017-02-07 Tokyo Electron Limited Method for controlling etching in pitch doubling
CN105826379B (zh) * 2015-01-08 2020-06-09 联华电子股份有限公司 半导体结构及其制作方法
US9496399B2 (en) * 2015-04-02 2016-11-15 International Business Machines Corporation FinFET devices with multiple channel lengths
US9472664B1 (en) 2015-07-19 2016-10-18 Inotera Memories, Inc. Semiconductor device and manufacturing method thereof
EP3153463B1 (en) 2015-10-08 2018-06-13 IMEC vzw Method for producing a pillar structure in a semiconductor layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
TWI690056B (zh) * 2016-04-27 2020-04-01 聯華電子股份有限公司 靜態隨機存取記憶體單元陣列及其形成方法
JP6272949B2 (ja) * 2016-06-06 2018-01-31 東京エレクトロン株式会社 パターン形成方法
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US11171057B2 (en) 2016-12-30 2021-11-09 Intel Corporation Semiconductor fin design to mitigate fin collapse
US10199265B2 (en) 2017-02-10 2019-02-05 Globalfoundries Inc. Variable space mandrel cut for self aligned double patterning
CN213026126U (zh) * 2020-09-15 2021-04-20 福建省晋华集成电路有限公司 有源区域结构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531Y2 (zh) 1976-11-30 1981-10-30
JPS58157135U (ja) 1982-04-17 1983-10-20 柳田 信義 指圧板
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPH0677180B2 (ja) 1985-07-02 1994-09-28 スタンレー電気株式会社 立体画像の表示装置
JPS6435916U (zh) 1987-08-28 1989-03-03
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5372916A (en) * 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US6249335B1 (en) * 1992-01-17 2001-06-19 Nikon Corporation Photo-mask and method of exposing and projection-exposing apparatus
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
DE19526011C1 (de) * 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) * 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
TW454339B (en) * 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) * 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) * 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) * 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) * 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
US6403423B1 (en) * 2000-11-15 2002-06-11 International Business Machines Corporation Modified gate processing for optimized definition of array and logic devices on same chip
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6627524B2 (en) * 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US6429068B1 (en) * 2001-07-02 2002-08-06 International Business Machines Corporation Structure and method of fabricating embedded vertical DRAM arrays with silicided bitline and polysilicon interconnect
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100569536B1 (ko) * 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR20030049196A (ko) 2001-12-14 2003-06-25 한국전력공사 심야전력공급용 마그네트스위치의 충격 흡수 장치
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6548401B1 (en) * 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
JP3976598B2 (ja) * 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
KR20040016678A (ko) * 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756619B2 (en) * 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
KR20040025289A (ko) 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) * 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
CN100438045C (zh) * 2003-01-22 2008-11-26 Nxp股份有限公司 制造半导体器件阵列的方法
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
WO2004100235A1 (ja) * 2003-05-09 2004-11-18 Fujitsu Limited レジストの加工方法、半導体装置及びその製造方法
US6905975B2 (en) * 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) * 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7067385B2 (en) * 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) * 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7030008B2 (en) * 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
KR100548998B1 (ko) 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) * 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7354847B2 (en) * 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
KR100577562B1 (ko) * 2004-02-05 2006-05-08 삼성전자주식회사 핀 트랜지스터 형성방법 및 그에 따른 구조
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) * 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100640587B1 (ko) 2004-09-23 2006-11-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) * 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) * 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) * 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
JP2006243681A (ja) 2005-02-07 2006-09-14 Nitto Denko Corp 偏光子保護フィルム、偏光板、および画像表示装置
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7557015B2 (en) * 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7166533B2 (en) * 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
CA2608285A1 (en) 2005-05-13 2006-11-23 Sachem, Inc. Selective wet etching of oxides
US7544563B2 (en) * 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7517753B2 (en) * 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) * 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US20060288795A1 (en) * 2005-06-27 2006-12-28 Vishay Measurements Group, Inc. Strain gage with off axis creep compensation feature
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) * 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
JP4125311B2 (ja) 2005-08-30 2008-07-30 株式会社東芝 ロボットおよびマニピュレータ
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20070085152A1 (en) 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7768055B2 (en) * 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
CN101026067A (zh) 2006-02-17 2007-08-29 乐金电子(南京)等离子有限公司 等离子显示器
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
KR100694412B1 (ko) * 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7745339B2 (en) * 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) * 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) * 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) * 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) * 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7790357B2 (en) 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) * 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
CN101542390A (zh) 2006-11-14 2009-09-23 Nxp股份有限公司 用以增大特征空间密度的两次形成图案的光刻技术
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US20080120900A1 (en) * 2006-11-29 2008-05-29 Femo Operations, Lp Systems and Methods for Repelling and/or Killing Pests Using Mulch
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR20090095604A (ko) * 2006-12-06 2009-09-09 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 이중 패터닝 공정을 이용한 장치 제조 방법
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) * 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) * 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) * 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) * 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101073858B1 (ko) 2007-06-08 2011-10-14 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074958A1 (en) 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
JP2010087301A (ja) 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) * 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
JP2013534542A (ja) 2010-06-04 2013-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 自己組織化可能な重合体及びリソグラフィにおける使用方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition

Also Published As

Publication number Publication date
SG188110A1 (en) 2013-03-28
US8901700B2 (en) 2014-12-02
WO2009137210A3 (en) 2009-12-30
US9171902B2 (en) 2015-10-27
US8629527B2 (en) 2014-01-14
US20140097499A1 (en) 2014-04-10
US20110266647A1 (en) 2011-11-03
US7989307B2 (en) 2011-08-02
KR20130007678A (ko) 2013-01-18
CN102017073A (zh) 2011-04-13
TW200952041A (en) 2009-12-16
US20150069505A1 (en) 2015-03-12
CN102017073B (zh) 2014-04-09
US20090273051A1 (en) 2009-11-05
KR101262471B1 (ko) 2013-05-08
KR20110014633A (ko) 2011-02-11
EP2274768A2 (en) 2011-01-19
WO2009137210A2 (en) 2009-11-12
EP2274768A4 (en) 2013-07-31
KR101349989B1 (ko) 2014-01-13

Similar Documents

Publication Publication Date Title
TWI476815B (zh) 於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構
US10840097B2 (en) Semiconductor methods and devices
TWI391988B (zh) 利用間距減縮製造裝置之方法及相關結構
TWI396252B (zh) 提供電性隔離之方法及包含該方法之半導體結構
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
US8222140B2 (en) Pitch division patterning techniques
US20170345843A1 (en) Vertical memory devices
US8685859B2 (en) Self-aligned semiconductor trench structures
US9397115B1 (en) Methods for making a trim-rate tolerant self-aligned contact via structure array
JP7194813B2 (ja) 三次元メモリデバイス、三次元メモリデバイスを作製するための方法及びメモリセルストリング
US10439048B2 (en) Photomask layout, methods of forming fine patterns and method of manufacturing semiconductor devices
WO2008061031A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
TW202207418A (zh) 半導體元件
TWI781559B (zh) 半導體裝置
TW202139271A (zh) 半導體結構
US11145760B2 (en) Structure having improved fin critical dimension control
CN117652217A (zh) 具有正交存储器开口和支撑开口阵列的三维存储器器件及其制造方法