KR101449772B1 - 효율적인 피치 멀티플리케이션 프로세스 - Google Patents

효율적인 피치 멀티플리케이션 프로세스 Download PDF

Info

Publication number
KR101449772B1
KR101449772B1 KR1020097007602A KR20097007602A KR101449772B1 KR 101449772 B1 KR101449772 B1 KR 101449772B1 KR 1020097007602 A KR1020097007602 A KR 1020097007602A KR 20097007602 A KR20097007602 A KR 20097007602A KR 101449772 B1 KR101449772 B1 KR 101449772B1
Authority
KR
South Korea
Prior art keywords
delete delete
spacers
layer
integrated circuit
array
Prior art date
Application number
KR1020097007602A
Other languages
English (en)
Other versions
KR20090073157A (ko
Inventor
마크 피셔
스티븐 러셀
에이치. 몽고메리 매닝
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20090073157A publication Critical patent/KR20090073157A/ko
Application granted granted Critical
Publication of KR101449772B1 publication Critical patent/KR101449772B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)

Abstract

집적 회로(100)의 피치 멀티플리케이션된 피처들 및 피치 멀티플리케이션되지 않은 피처들, 예를 들어 집적 회로(100)의 어레이, 인터페이스 및 주변 영역들(102, 104, 106) 각각 내의 피처들이 마스크를 통해 기판(110)을 처리함으로써 형성된다. 마스크는 집적 회로(100)의 어레이, 인터페이스 및 주변 영역들(102, 104, 106) 각각 내의 피처들에 대응하는 마스크 요소들을 동시에 정의하는 포토레지스트 층을 패터닝함으로써 형성된다. 패턴은 비결정 탄소 층(140)에 전사된다. 패터닝된 비결정 탄소 층(140)의 측벽들 상에 측벽 스페이서들(165)이 형성된다. 보호 재료의 층(170)이 퇴적된 후, 어레이 영역(102) 내 및 인터페이스 또는 주변 영역들(104, 106)의 선택된 부분들 내의 마스크 요소들을 노출시키도록 패터닝된다. 어레이 영역 내의 비결정 탄소 또는 다른 노출된 부분들이 제거되어, 어레이 영역(102) 내의 피치 멀티플리케이션된 프리스탠딩 스페이서들(165)을 포함하는 패턴이 남는다. 보호 재료(170)가 제거되어, 어레이 영역(102) 내의 피치 멀티플리케이션된 스페이서들 및 인터페이스 및 주변 영역들(104, 106) 내의 피치 멀티플리케이션되지 않은 마스크 요소들의 패턴이 남는다. 패턴은 하부 기판(110)을 에칭하는 하드마스크 층(150)에 전사된다.
Figure R1020097007602
집적 회로, 피치 멀티플리케이션 프로세스, 마스크 요소, 전사, 스페이서

Description

효율적인 피치 멀티플리케이션 프로세스{EFFICIENT PITCH MULTIPLICATION PROCESS}
<관련 출원들에 대한 참조>
본 출원은 2004년 9월 2일자로 "Method for Integrated Circuit Fabrication Using Pitch Multiplication"이라는 제목으로 Abatchev 등에 의해 출원된 미국 특허 출원 번호 10/934,778; 2004년 8월 31일자로 "Methods for Increased Photo Alignment Margins"라는 제목으로 Tran 등에 의해 출원된 미국 특허 출원 번호 10/931,771; 2005년 8월 29일자로 "Pitch Reduced Patterns Relative To Photolithography Features"라는 제목으로 Tran 등에 의해 출원된 미국 특허 출원 번호 11/214,544; 2006년 3월 2일자로 "Process Flow for Vertical Transistor Arrays"라는 제목으로 Werner Juengling에 의해 출원된 미국 특허 출원 번호 11/366,212; 및 2006년 3월 2일자로 "Masking Process For Simultaneouosly Patterning Separate Regions"라는 제목으로 Werner Juengling에 의해 출원된 미국 특허 출원 번호 11/367,020과 관련된다. 이 참조 문헌들 각각의 전체는 본 명세서에 참고로 반영된다.
<발명의 분야>
본 발명은 일반적으로 집적 회로 제조에 관한 것으로서, 특히 마스킹 기술에 관한 것이다.
향상된 휴대성, 컴퓨팅 능력, 메모리 용량 및 에너지 효율에 대한 요구를 포함하는 많은 팩터의 결과로서, 집적 회로들의 크기는 계속 감소하고 있다. 이러한 크기 감소를 돕기 위해, 집적 회로들을 형성하는 구성 피처들(features), 예를 들어 전기 디바이스들 및 인터커넥트 라인들의 크기들도 꾸준히 감소하고 있다.
피처 크기를 감소시키는 경향은 예를 들어 동적 랜덤 액세스 메모리(DRAM), 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM), 강유전체(FE) 메모리 등과 같은 메모리 회로들 또는 디바이스들에서 뚜렷하다. 일례로, DRAM은 통상적으로 메모리 셀로 알려진 수 백만개의 동일 회로 요소들을 포함한다. 통상적으로, DRAM 메모리 셀은 2개의 전기 디바이스, 즉 저장 커패시터 및 액세스 전계 효과 트랜지스터로 구성된다. 각각의 메모리 셀은 1 비트(바이너리 디지트)의 데이터를 저장할 수 있는 어드레스 가능한 위치이다. 비트는 트랜지스터를 통해 셀에 기입되며, 커패시터 내의 전하를 감지함으로써 판독될 수 있다. 메모리 셀을 구성하는 전기 디바이스들의 크기 및 메모리 셀들에 액세스하는 전도성 라인들의 크기를 줄임으로써, 메모리 디바이스들은 더 작아질 수 있다. 또한, 메모리 디바이스 내의 주어진 영역에 더 많은 메모리 셀을 형성함으로써 저장 용량이 향상될 수 있다. 다른 메모리 설계들은 액세스 및 저장 디바이스들을 통합하거나 액세스 디바이스들을 생략할 수 있다(예컨대, 크로스-포인트 MRAM, PCRAM 등).
피처 크기의 계속적인 감소는 피처를 형성하는 데 이용되는 기술들에 대해 계속 더 많은 요구를 제시한다. 예를 들어, 포토리소그라피는 일반적으로 전도성 라인들과 같은 피처들을 패터닝하는 데 사용된다. 이러한 피처들의 크기를 설명하기 위해 피치의 개념이 이용될 수 있다. 피치는 반복 패턴의 두 이웃 피처 내의 동일 포인트 사이의 거리로서 정의된다. 통상적으로, 이러한 피처들은 인접하는 피처들 사이의 공간들에 의해 정의되는데, 이 공간들은 통상적으로 절연체와 같은 재료로 채워진다. 결과적으로, 피치는 피처의 폭과, 피처를 이웃 피처로부터 분리하는 피처의 일측의 공간의 폭의 합으로서 간주될 수 있다. 그러나, 광학 및 광 또는 방사선 파장과 같은 팩터들로 인해, 포토리소그래픽 기술들 각각은 특정 포토리소그래픽 기술이 그 이하에서는 신뢰성 있게 피처들을 형성할 수 없는 최소 피치를 갖는다. 따라서, 포토리소그래픽 기술의 최소 피치는 계속적인 피처 크기 감소에 대한 장애물이다.
"피치 더블링(pitch doubling)" 또는 "피치 멀티플리케이션(pitch multiplication)"은 포토리소그래픽 기술들의 성능을 그들의 최소 피치를 넘어 확장하기 위한 하나의 제안된 방법이다. 하나의 피치 멀티플리케이션 방법이 도 1A-1F에 도시되고, Lowrey 등에게 허여된 미국 특허 제5,328,810호에 설명되어 있으며, 이 특허의 전체 개시는 본 명세서에 참고로 반영되어 있다. 도 1A를 참조하면, 라인들(10)의 패턴이 포토레지스트 층 내에 포토리소그라피 방식으로 형성되는데, 이 패턴은 소모용 재료의 층(20) 위에 위치하며, 층(20)은 기판(30) 위에 위치한다. 이어서, 도 1B에 도시된 바와 같이, 패턴은 에치(바람직하게는 이방성 에치)를 이용하여 층(20)에 전사되며, 이에 따라 플레이스홀더들(placeholders) 또는 맨드릴들(mandrels)(40)이 형성된다. 도 1C에 도시된 바와 같이, 이웃 맨드릴들(40) 사이의 거리를 증가시키기 위해 포토레지스트 라인들(10)이 스트립되고, 맨드릴들(40)이 등방성 에칭될 수 있다. 이어서, 도 1D에 도시된 바와 같이, 맨드릴들(40) 위에 스페이서 재료의 층(50)이 퇴적된다. 이어서, 스페이서들(60))(도 1E), 즉 다른 재료의 측벽들로부터 연장하거나, 연장하여 최초로 형성된 재료가 맨드릴들(40)의 측부들 상에 형성된다. 스페이서 형성은 도 1E에 도시된 바와 같이 수평 표면들(70, 80)로부터 스페이서 재료를 지향성 스페이서 에치에서 선호적으로 에칭함으로써 달성된다. 이어서, 도 1F에 도시된 바와 같이, 남은 맨드릴들(40)이 제거되어, 스페이서들(60)만이 남으며, 이들은 함께 패터닝을 위한 마스크로서 작용한다. 따라서, 주어진 피치가 이전에 하나의 마스크 요소와 하나의 공간을 정의하는 패턴을 포함한 경우, 이제 동일 폭은 2개의 마스크 요소 및 예를 들어 스페이서들(60)에 의해 정의되는 2개의 공간을 포함한다. 결과적으로, 포토리소그래픽 기술로 형성될 수 있는 최소 피처 크기가 효과적으로 감소된다.
위의 예에서 실제로 피치는 절반으로 되었지만, 이러한 피치의 감소는 전통적으로 피치 "더블링" 또는 더 일반적으로는 피치 "멀티플리케이션"으로 지칭된다. 따라서, 전통적으로 소정 팩터에 의한 피치의 "멀티플리케이션"은 실제로는 그 팩터에 의한 피치의 감소를 수반한다. 전통적인 용어법이 본 명세서에서 계속 사용된다.
스페이서 재료의 층(50)은 통상적으로 단일 두께(90)를 가지므로(도 1D 및 1E 참조), 그리고 스페이서들(60)에 의해 형성되는 마스크 요소들의 크기는 통상적 으로 그 두께(90)에 대응하므로, 피치 더블링은 통상적으로 단 하나의 폭의 마스크 요소들을 형성한다. 그러나, 일반적으로 회로들은 상이한 크기의 피처들을 사용한다. 예를 들어, 통상적으로 랜덤 액세스 메모리 회로들은 회로들의 일부에 배치되는 메모리 셀들의 어레이들 및 소위 "주변(periphery)"에 배치되는 논리 회로들을 포함한다. 어레이들에서 메모리 셀들은 통상적으로 전도성 라인들에 의해 접속되며, 주변에서 전도성 라인들은 통상적으로 주변 내의 인터커넥트들(interconnects) 또는 어레이들을 논리에 접속하기 위한 랜딩 패드들과 접촉한다. 그러나, 주변 인터커넥트들 및 랜딩 패드들과 같은 주변 피처들은 어레이 내의 전도성 라인들보다 클 수 있다. 또한, 주변 트랜지스터를 포함하는 주변 내의 전기 디바이스들은 어레이 내의 전기 디바이스들보다 클 수 있다. 더욱이, 주변 피처들은 어레이 내의 피처들과 동일한 피치로 형성될 수 있지만, 피치 멀티플리케이션에 의해 형성되는 마스크 패턴들은 패터닝된 포토레지스트의 측벽들을 따라 형성되는 것들로 제한될 수 있으므로, 피치 멀티플리케이션을 이용하면서, 소정의 피처들을 정의하는 데 필요한 유연성, 예를 들어 기하학적 유연성을 얻는 것은 어려운 일이다.
따라서, 특히 소정의 피처들이 포토리소그래픽 기술의 최소 피치 이하로 형성되는 경우에, 그리고 특히 피치 멀티플리케이션과 관련하여, 상이한 크기의 피처들을 형성하는 방법들이 필요하다.
본 발명은 바람직한 실시예들의 상세한 설명으로부터 그리고 첨부된 도면들로부터 더 잘 이해될 것인데, 이들은 설명을 위한 것일 뿐, 본 발명을 한정하고자 하는 의도는 없다.
도 1A-1F는 종래의 피치 더블링 방법에 따라 전도성 라인들을 형성하기 위한 마스킹 패턴들의 시퀀스의 개략적 측단면도들.
도 2A는 본 발명의 바람직한 실시예들에 따른, 부분적으로 형성된 집적 회로의 개략 평면도.
도 2B는 본 발명의 바람직한 실시예들에 따른, 도 2A의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 3은 본 발명의 바람직한 실시예에 따른, 포토레지스트 층 내에 라인들을 형성한 후의 도 2A-2B의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 4는 본 발명의 바람직한 실시예들에 따른, 포토레지스트 층 내의 패턴을 하부 하드마스크 및 임시 층들에 전사하고 포토레지스트 층을 제거한 후의 도 3의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 5는 본 발명의 바람직한 실시예들에 따른, 마스크 요소들 사이의 공간들을 넓히기 위해 트림 에치(trim etch)를 수행한 후의 도 4의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 6은 본 발명의 바람직한 실시예들에 따른, 스페이서 재료의 층을 퇴적한 후의 도 5의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 7A-7B는 본 발명의 바람직한 실시예들에 따른, 스페이서 에치를 수행한 후의 도 6의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 8은 본 발명의 바람직한 실시예들에 따른, 보호 재료의 층을 퇴적한 후의 도 7A-7B의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 9A-9B는 본 발명의 바람직한 실시예들에 따른, 부분적으로 형성된 집적 회로의 어레이 영역 및 다른 선택된 영역들 내의 마스크 요소들을 노출시키기 위해 보호 층을 패터닝한 후의 도 8의 부분적으로 형성된 집적 회로의 개략 측단면도 및 평면도.
도 10A-10B는 본 발명의 바람직한 실시예들에 따른, 보호 층의 패터닝에 의해 노출된 영역들 내의 하드마스크 및 임시 층 재료를 제거하여 프리스탠딩 스페이서들의 패턴을 남긴 후 보호 층을 제거한 후의 도 9A-9B의 부분적으로 형성된 집적 회로의 개략 측단면도 및 평면도.
도 11은 본 발명의 바람직한 실시예들에 따른, 피치 멀티플리케이션된 마스크 요소 및 피치 멀티플리케이션되지 않은 마스크 요소의 패턴을 하부 하드마스크 층 내로 에칭한 후의 도 10A-10B의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 12는 본 발명의 바람직한 실시예들에 따른, 피치 멀티플리케이션된 마스크 요소 및 피치 멀티플리케이션되지 않은 마스크 요소의 패턴을 하부 기판에 전사한 후의 도 11의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 13은 본 발명의 바람직한 실시예들에 따른, 기판 위의 마스크 요소들을 제거한 후의 도 12의 부분적으로 형성된 집적 회로의 개략 측단면도.
도 14는 본 발명의 바람직한 실시예들에 따른, 기판 위의 모든 마스크 요소를 제거한 후의 도 12 또는 13의 부분적으로 형성된 집적 회로의 개략 측단면도.
피치 멀티플리케이션된 피처들과 피치 멀티플리케이션되지 않은 피처들과 같은 더 큰 피처들 사이의 크기 차이로 인해, 피치 멀티플리케이션된 피처들을 더 큰 피처들에 접속하기가 어려울 수 있다. 예를 들어, 피치 멀티플리케이션된 피처들은 통상적으로 너무 작고 그리고/또는 좁게 이격되어, 접촉 플러그들 또는 더 큰 크기의 인터커넥트들과 같은 피처들과 신뢰성 있게 접촉할 수 없다. 피치 멀티플리케이션된 피처들의 작은 크기 및/또는 좁은 간격으로 인해 단락 또는 다른 오정렬들이 발생할 수 있다. 피치 멀티플리케이션된 피처 및 피치 멀티플리케이션되지 않은 피처를 형성하기 위해 다양한 방법이 제안되었다. 피치 멀티플리케이션된 피처 및 피치 멀티플리케이션되지 않은 피처를 형성하기 위한 예시적인 방법들이 Abatchev 등의 미국 특허 출원 번호 10/934,778 및 Tran 등의 미국 특허 출원 번호 10/931,771에 설명되어 있으며, 이들 양자는 본 출원의 양수인에게 양도되었다. 이들 참고 문헌 각각의 전체 개시는 본 명세서에 참고로 반영되어 있다.
피치 멀티플리케이션된 피처와 피치 멀티플리케이션되지 않은 피처를 형성하고 접속하는 것의 어려움에 더하여, 상이한 크기의 피처들을 형성하고 오버레이해야 하는 필요로 인해 프로세스 흐름은 복잡할 수 있다. 예를 들어, 피치 멀티플리케이션된 피처들은 더 큰 크기의 피처들과 별개로 형성될 수 있으며, 따라서 다수의 마스크 형성 및 마스크 통합 단계가 필요할 수 있다. 프로세스 흐름 내의 각각의 추가 단계는 프로세스 흐름의 복잡성 및 비용을 바람직하지 않게 늘릴 수 있다는 것을 알 것이다. 더욱이, 각각의 추가 단계는 프로세스 결과들의 바람직하지 않은 변화를 유발할 수 있는 오정렬 및 에치 및 패턴 전사 복잡성 및 다른 에러들을 발생시킬 가능성이 있다.
이러한 어려움들을 고려하여, 본 발명의 바람직한 실시예들은 유리하게 간단한 프로세스 흐름을 이용하여 피치 멀티플리케이션된 피처 및 피치 멀티플리케이션되지 않은 피처의 형성 및 접속을 가능하게 한다. 바람직하게도, 집적 회로의 어레이, 인터페이스 및 주변 영역들에 대응하는 선택적으로 정의 가능한 층(예컨대, 포토레지스트 층)의 부분들은 동시에 패터닝된다. 피치 멀티플리케이션은 어레이 영역 내의 피치 멀티플리케이션된 스페이서들을 형성하는 데 이용된다. 인터페이스 및 주변 영역들의 적어도 소정 부분들은 보호 재료를 이용하여 보호되는 반면에 다른 부분들은 에칭되어 프리스탠딩 스페이서들이 어레이 영역에 형성된다. 이어서, 보호 재료가 제거된 후, 어레이 영역 내의 스페이서들 및 인터페이스 및 주변 영역들 내의 피처들을 포함하는 패턴이 하드마스크 층에 전사된다. 하드마스크 층은 하부 기판을 패터닝하는 데 사용된다.
이롭게도, 바람직한 실시예들에 따른 처리는 비교적 적은 프로세스 단계들을 이용하여 피치 멀티플리케이션된 피처 및 피치 멀티플리케이션되지 않은 피처의 형성 및 접속을 가능하게 한다. 비교적 적은 단계 수는 프로세스 결과의 균일성을 향상시킬 수 있다. 추가적인 패턴 형성 및 패턴 전사 단계들에 의해 유발되는 불균일이 감소될 수 있다. 더욱이, 본 명세서에 설명되는 바와 같이, 피치 멀티플리케이션된 마스크 요소들에 대한 피치 멀티플리케이션되지 않은 마스크 요소들의 접속은 피치 멀티플리케이션되지 않은 마스크 요소들과 동일한 수직 레벨로 동시에 형성될 수 있으므로, 별개로 형성되는 마스크 요소들의 오정렬로 인해 유발되는 결함들이 감소할 수 있다. 따라서, 피치 멀티플리케이션된 피처들에 대한 접속이 더욱 신뢰성 있게 형성될 수 있으며, 따라서 단락 및 피치 멀티플리케이션된 피처들의 열악한 접속의 가능성이 감소할 수 있다. 또한, 비교적 적은 수의 프로세스 단계들은 이롭게도 높은 처리량 및 비교적 낮은 비용으로 집적 회로를 제조하는 것을 가능하게 한다.
이제, 전반적으로 동일한 번호들이 동일한 부분들을 지칭하는 도면들을 참조할 것이다. 이러한 도면들은 반드시 축척으로 작성된 것은 아니다.
먼저, 하드마스크 층 내에 패턴을 형성하는 데 적합한 층들의 스택이 형성된다.
도 2A를 참조하면, 부분적으로 제조된 집적 회로의 평면도가 도시되어 있다. 바람직한 실시예들은 임의의 집적 회로를 형성하는 데 이용될 수 있지만, 이들은 DRAM, ROM 또는 NAND 플래시 메모리를 포함하는 플래시 메모리, 또는 논리 또는 게이트 어레이들을 갖는 집적 회로와 같은 휘발성 및 비휘발성 메모리 디바이스들을 위한 메모리 셀 어레이들을 포함하는 전기 디바이스들의 어레이들을 갖는 디바이스들을 형성하는 데에 특히 유리하게 적용된다. 논리 어레이는 메모리 어레이와 유사한 코어 어레이 및 지원 논리들을 갖는 주변부를 갖는 필드 프로그래머블 게이트 어레이(FPGA)일 수 있다. 결과적으로, 집적 회로(100)는 예컨대, 논리 어레이 및 내장 메모리 양자, 또는 논리 또는 게이트 어레이와 같은 피처들의 어레이들을 갖는 임의의 다른 집적 회로를 포함할 수 있는 메모리 칩 또는 프로세서일 수 있다.
도 2A를 계속 참조하면, 중심 영역(102), 즉 어레이 영역 또는 간단히 "어레이"가 인터페이스 영역(104)에 의해 둘러싸여 있으며, 영역(104)은 "주변"으로도 지칭되는 주변 영역(106)에 의해 둘러싸여 있다. 집적 회로(100)의 제조가 완료된 후에, 어레이(102)는 통상적으로 전도성 라인들 및 트랜지스터 및 커패시터와 같은 전기 디바이스들로 고밀도로 채워질 것이다. 메모리 디바이스에서, 전기 디바이스들은 통상적으로 워드 라인들 및 비트 라인들의 교차부에 규칙적인 그리드 패턴으로 배열되는 복수의 메모리 셀을 형성한다. 바람직하게도, 후술하는 바와 같이, 피치 멀티플리케이션은 트랜지스터들 및 커패시터들의 행들 및/또는 열들과 같은 피처들을 형성하는 데 이용될 수 있다.
한편, 주변(106)은 통상적으로 어레이(102) 내의 피처들보다 큰 피처들을 포함한다. 피치 멀티플리케이션이 아니라, 전통적인 포토리소그라피가 주변(106) 내의 논리 회로와 같은 피처들을 패터닝하는 데에 바람직하게 이용되는데, 이는 주변(106)에 배치되는 논리 회로들의 구조적 복잡성이 피치 멀티플리케이션의 이용을 어렵게 하기 때문이다. 예를 들어, 주변(106) 내의 피처들은 통상적으로 어레이(102)에서 일반적인 피처들의 반복 규칙성을 갖지 않는다. 더욱이, 논리 영역은 어레이 영역(102)만큼 고밀도일 필요가 없으며, 따라서 논리 영역에서는 피치 멀티플리케이션이 불필요하다. 반대로, 어레이 패턴들에 일반적인 규칙적인 그리드는 피치 멀티플리케이션에 적합하다. 또한, 주변 내의 소정의 디바이스들은 전기적인 제약들로 인해 더 큰 구조를 필요로 하며, 따라서 이러한 디바이스들에 대해서는 전통적인 포토리소그라피보다 피치 멀티플리케이션이 덜 이롭게 된다.
인터페이스 영역(104)은 어레이 및 주변 피처들 양자를 포함할 수 있다. 예를 들어, 인터페이스 영역(104)은 어레이(102)에서 주변(106)으로의 전이부로서 기능할 수 있으며, 어레이(102) 내의 피처들과 주변(106) 내의 피처들 사이의 접촉을 가능하게 할 수 있다.
동심 영역들로서 개략적으로 도시되었지만, 기술자는 집적 회로(100) 내의 상대적 위치들 및 어레이(102), 인터페이스(104) 및 주변(106) 영역들의 수가 도시된 것과 다를 수 있다는 것을 알 것이다. 예를 들어, 집적 회로(100)의 소정 영역들에서, 인터페이스(104) 및 주변(106) 영역들은 아래 도면들의 일부에 도시된 바와 같이 어레이 영역(102)의 어느 한 쪽에 바로 배치될 수 있다. 더욱이, 설명의 편의를 위해 이러한 영역들의 소정의 특성들이 위에서 설명되었고, 이 영역들 사이의 명확한 경계가 도시되었지만, 일부 배열들에서는 이 영역들 중 둘 이상이 겹칠 수 있다.
도 2B는 부분적으로 형성된 집적 회로(100)의 측단면도를 나타낸다. 기판(110) 상에 다양한 마스킹 층들(120-150)이 바람직하게 제공된다. 층들(120-150)은 후술하는 바와 같이 기판(110)을 패터닝하기 위한 마스크를 형성하기 위해 에칭될 것이다.
기판(110) 위의 층들(120-150)을 위한 재료들은 본 명세서에 설명되는 다양한 패턴 형성 및 패턴 전사 단계를 위한 화학 및 프로세스 조건들의 고려에 기초하여 선택되는 것이 바람직하다. 최상부의 선택적으로 정의 가능한(예를 들어, 광에 의해 정의 가능한) 층(120)과 기판(110) 사이의 층들은 선택적으로 정의 가능한 층(120)으로부터 도출된 패턴을 기판(110)에 전사하도록 기능하는 것이 바람직하므로, 선택적으로 정의 가능한 층(120)과 기판(110) 사이의 층들(130-150)은 다른 노출된 재료들에 대해 선택적으로 에칭될 수 있도록 선택되는 것이 바람직하다. 재료에 대한 에치 레이트가 주변 재료들에 대한 에치 레이트보다 적어도 약 2-3배, 바람직하게는 적어도 약 10배, 더 바람직하게는 적어도 약 20배, 가장 바람직하게는 적어도 약 50배 더 클 때, 그 재료는 선택적으로 또는 선호적으로 에칭되는 것으로 간주된다는 것을 알 것이다. 제2 하드마스크 층(150) 위의 층들(120-140)의 목적은 제2 하드마스크 층(150)에 양호하게 정의된 패턴들이 형성되는 것을 가능하게 하는 것이므로, 적절한 다른 재료들, 화학들 및/또는 프로세스 조건들이 이용되는 경우에는 층들(120-140) 중 하나 이상이 생략 또는 대체될 수 있다는 것을 알 것이다.
도시된 실시예에서, 선택적으로 정의 가능한 층(120)은 제1 하드마스크 또는 에치 스톱 층(130) 위에 배치되고, 층(130)은 임시 층(140) 위에 배치되고, 층(140)은 제2 하드마스크 또는 에치 스톱 층(150) 위에 배치되며, 층(150)은 마스크를 통해 처리될(예컨대, 에칭될) 기판(110) 위에 배치된다. 바람직하게, 기판(110)을 처리할 마스크는 제2 하드마스크 층(150)에 형성된다.
도 2B를 계속 참조하면, 선택적으로 정의 가능한 층(120)은 광에 의해 정의 가능한 것이 바람직한데, 예를 들어 이 분야에 공지된 임의의 포토레지스트를 포함하는 포토레지스트로 형성된다. 예를 들어, 포토레지스트는 157 nm, 193 nm, 248 nm 또는 365 nm 파장 시스템들, 193 nm 파장 이머션 시스템들, 극자외선 시스템들(13.7 nm 파장 시스템들을 포함함) 또는 전자 빔 리소그래픽 시스템들에 적합한 임의의 포토레지스트일 수 있다. 또한, 광 정의 가능 층(120)을 정의하기 위해 무 마스크 리소그라피 또는 무 마스크 포토리소그라피가 사용될 수 있다. 바람직한 포토레지스트 재료들의 예는 아르곤 불화물(ArF) 감지 포토레지스트, 즉 ArF 광원과 함께 사용하기에 적합한 포토레지스트, 및 크립톤 불화물(KrF) 감지 포토레지스트, 즉 KrF 광원과 함께 사용하기에 적합한 포토레지스트를 포함한다. ArF 포토레지스트는 비교적 짧은 파장의 광, 예컨대 193 nm의 광을 이용하는 포토리소그래픽 시스템들과 함께 사용되는 것이 바람직하다. KrF 포토레지스트는 248 nm 시스템들과 같은 더 긴 파장의 포토리소그래픽 시스템들과 함께 사용되는 것이 바람직하다. 다른 실시예들에서, 층(120) 및 임의의 후속 레지스트 층들은 나노-임프린트(nano-imprint) 리소그라피에 의해, 예를 들어 형틀 또는 기계적인 힘을 이용하여 레지스트를 패터닝함으로써 패터닝될 수 있는 레지스트로 형성될 수 있다.
제1 하드마스크 층(130)을 위한 재료는 무기 재료를 포함하는 것이 바람직하다. 예시적인 재료들은 실리콘 산화물(SiO2), 실리콘 또는 실리콘-리치(silicon-rich) 실리콘 산질화물과 같은 유전성 반사 방지 코팅(DARC)을 포함한다. 도시된 실시예에서, 제1 하드마스크 층(130)은 유전성 반사 방지 코팅(DARC)이다. 제1 하드마스크 층(130)을 위해 DARC를 사용하는 것은 포토리소그래픽 기술의 해상도 한계 근처의 피치들을 갖는 패턴들을 형성하는 데에 특히 유리할 수 있다. DARC는 광 반사를 최소화하여 해상도를 향상시킬 수 있으며, 따라서 포토리소그라피가 패턴의 에지들을 정의할 수 있는 정밀도를 향상시킬 수 있다.
임시 층(140)은 바람직한 하드마스크 재료들에 비해 매우 높은 에치 선택도를 제공하는 비결정 탄소로 형성되는 것이 바람직하다. 구체적으로, 비결정 탄소는, 광에 매우 투명하고(이른바, "투명 탄소") 광 정렬에 사용되는 광의 파장들에 투명함으로써 광 정렬의 개선을 제공하는 비결정 탄소의 한 형태이다. 이러한 투명 탄소를 형성하기 위한 퇴적 기술들은 A. Helmbold, D. Meissner, Thin Solid Films, 283 (1996) 196-203에서 발견될 수 있는데, 그 전체 개시는 본 명세서에 참고로 반영되어 있다.
제2 하드마스크 층(150)은, 하부 기판(110)을 에칭하는 데 적합하고 스페이서들(165)(도 11)과 같은 노출된 상부 재료들에 대해 선택적으로 에칭될 수 있는 하드마스크 재료로 형성될 수 있다. 예를 들어, 스페이서 재료(도 11)가 실리콘 산화물 또는 실리콘인 일부 실시예에서, 하드마스크 재료는 실리콘 질화물일 수 있다. 스페이서 재료가 실리콘 질화물 또는 실리콘인 다른 실시예들에서, 하드마스크 재료는 실리콘 산화물일 수 있다. 도시된 실시예에서, 하드마스크 재료는 질화물, 바람직하게는 실리콘 질화물이다.
기판(110)은 단일 재료의 층, 상이한 재료들의 복수의 층, 내부에 상이한 재료들 또는 구조들의 영역들을 갖는 층 또는 층들 등을 포함할 수 있다는 것을 알 것이다. 이러한 재료들은 반도체, 절연체, 도체 또는 이들의 조합을 포함할 수 있다. 예를 들어, 기판은 도핑된 폴리실리콘, 전기 디바이스 동작 영역, 실리사이드, 또는 텅스텐, 알루미늄 또는 구리 층과 같은 금속 층, 또는 이들의 조합들을 포함할 수 있다. 일부 실시예에서는, 후술하는 마스크 요소들이 기판 내의 인터커넥트들 또는 랜딩 패드들과 같은 도전성 피처들의 원하는 배치에 직접 대응할 수 있다. 도시된 실시예에서, 기판(110)의 상부는 절연체를 포함하며, 마스크 요소들의 위치는 상감 금속화(damascene metallization)에서와 같이 절연체들의 원하는 위치에 대응할 수 있다. 기판에 형성되는 구조들의 예는 인터커넥트들, 게이트 스택들 및 쉘로우 트렌치(shallow trench) 분리 구조들을 포함한다.
본 명세서에 설명되는 층들(120-150)은 다양한 방법에 의해 형성될 수 있다. 예를 들어, 광 정의 가능 층들을 형성하기 위해 스핀-온-코팅(spin-on-coating) 프로세스들이 이용될 수 있다. 화학 기상 증착과 같은 다양한 증착 프로세스를 이용하여 하드마스크 층들을 형성할 수 있다. 바람직하게, 특히 임시 층(140)이 비결정 탄소로 형성되는 경우에, 저온 화학 기상 증착(CVD) 프로세스를 이용하여 임시 층(140) 위에 하드마스크 층들 또는 임의의 다른 재료들, 예를 들어 스페이서 재료를 퇴적한다.
임시 층(140)을 위한 비결정 탄소는 탄화수소 화합물 또는 이러한 화합물들의 혼합물들을 탄소 전구체로서 사용하는 화학 기상 증착에 의해 형성될 수 있다. 예시적인 전구체는 프로필렌, 프로핀, 프로판, 부탄, 부틸렌, 부타디엔 및 아세텔린(acetelyne)을 포함한다. 비결정 탄소 층들을 형성하기 위한 적절한 방법이 2003년 6월 3일자로 Fairbairn 등에게 허여된 미국 특허 제6,573,030 B1에 설명되어 있는데, 그 전체 개시는 본 명세서에 참고로 반영되어 있다. 또한, 비결정 탄소는 도핑될 수도 있다. 도핑된 비결정 탄소를 형성하기 위한 적절한 방법이 Yin 등의 미국 특허 출원 번호 10/652,174에 설명되어 있으며, 그 전체 개시는 본 명세서에 참고로 반영되어 있다.
이어서, 패턴들이 형성되고, 하드마스크 층(150)에 전사된다.
본 명세서에서 설명되는 임의의 단계에서 상부 레벨에서 하부 레벨로의 패턴의 전사는 상부 레벨의 마스크 요소들에 전반적으로 대응하는 집적 회로 피처들 또는 마스크 요소들을 하부 레벨에 형성하는 것을 포함한다는 것을 알 것이다. 예를 들어, 하부 레벨의 라인들의 경로는 전반적으로 상부 레벨의 라인들의 경로를 따르며, 하부 레벨의 다른 요소들 또는 피처들의 위치는 상부 레벨의 유사한 요소들 또는 피처들의 위치에 대응할 것이다. 그러나, 요소들 또는 피처들의 정확한 형상 및 크기는 상부 레벨과 하부 레벨에서 다를 수 있다. 예를 들어, 후술하는 실시예들에서 제1 레지스트 마스크를 축소시키는 예로부터 알 수 있듯이, 에치 화학 및 조건에 따라, 전사 패턴을 형성하는 요소들 또는 피처들의 크기들 및 그들 간의 상대적 간격은 상부 레벨 상의 패턴에 비해 확대 또는 축소될 수 있지만, 동일한 초기 "패턴"을 여전히 닮을 수 있다. 따라서, 요소들 또는 피처들의 치수의 소정의 변경에도, 전사 패턴은 여전히 초기 패턴과 동일한 패턴인 것으로 간주된다. 이와 달리, 마스크 요소들 주위에 스페이서들을 형성하는 것은 새로운 (피치 멀티플리케이션된) 패턴들을 형성할 수 있다.
도 3을 참조하면, 어레이 요소들(124), 인터페이스 요소들(126) 및 주변 요소(128)에 의해 정의되는 공간들 또는 트렌치들(122, 123)을 포함하는 패턴이 광 정의 가능 층(120)에 형성된다. 요소들(124, 126, 128)은 광 정의 가능 재료로 형성된다. 트렌치들(122, 123)은 예를 들어, 층(120)이 레티클을 통해 방사선에 노출된 후 현상되는, 248 nm 또는 193 nm 광을 이용하는 포토리소그라피에 의해 형성될 수 있다. 현상 후에 남은 광 정의 가능 재료, 즉 도시된 실시예에서 포토레지스트는 도시된 요소들(124, 126, 128)을 형성한다. 도시된 실시예에서, 어레이 요소들(124)은 라인들이다.
라인들(124)의 피치는 라인(124)의 폭과 이웃 공간(122)의 폭의 합과 동일하다. 이러한 라인들(124) 및 공간들(122)의 패턴을 이용하여 형성되는 요소들의 임계 치수를 최소화하기 위해, 피치는 광 정의 가능 층(120)을 패터닝하는 데 사용되는 포토리소그래픽 기술의 한계에 또는 그 근처에 있을 수 있다. 예를 들어, 248 nm 광을 이용하는 포토리소그라피에 대해, 라인들(124)의 피치는 약 100 nm일 수 있다. 따라서, 피치는 포토리소그래픽 기술의 최소 피치일 수 있으며, 후술하는 스페이서 패턴은 이롭게도 포토리소그래픽 기술의 최소 피치 이하의 피치를 가질 수 있다. 대안으로, 위치 및 요소 크기에 대한 에러의 마진은 통상적으로 포토리소그래픽 기술의 한계에 접근함에 따라 증가하므로, 라인들(124)은 라인들(124)의 위치 및 크기의 에러를 최소화하기 위해 예를 들어 약 200 nm의 피치에 대해 더 큰 요소 크기를 갖도록 형성될 수 있다.
도 4를 참조하면, 광 정의 가능 층(120) 내의 패턴은 스페이서 재료의 층(160)(도 6)의 퇴적을 가능하게 하기 위해 하드마스크 층(130) 및 임시 층(140)에 전사된다. 스페이서 재료 퇴적에 사용되는 온도는 통상적으로 너무 높아서 포토레지스트가 견뎌내지 못하는 것으로 밝혀졌다. 따라서, 패턴은 광 정의 가능 층(120)으로부터 후술하는 스페이서 재료 퇴적 및 에치를 위한 프로세스 조건들에 견딜 수 있는 재료들로 형성된 제1 하드마스크 층(130) 및 임시 층(140)에 전사되는 것이 바람직하다. 임시 층(140)을 형성하는 재료는 포토레지스트보다 큰 열 저항을 갖는 것에 더하여, 스페이서들(165)(도 10) 및 하부 제2 하드마스크 층(150)을 위한 재료에 대해 선택적으로 제거될 수 있도록 선택되는 것이 바람직하다. 전술한 바와 같이, 층(140)은 비결정 탄소로 형성되는 것이 바람직하며, 투명 탄소로 형성되는 것이 더 바람직하다.
패턴 전사는 단일 단계로, 즉 단일 프로세스 챔버 내에서 인시투(in situ)로 달성될 수 있다. 전사는 이방성 에치를 이용하여 달성되는 것이 바람직하다. 바람직한 에치 화학은 탄화 플루오르 플라즈마, 예를 들어 HBr/CF4로부터 생성된 플라즈마를 이용하여 제1 하드마스크 층(130)을 에칭하고 산소 함유 플라즈마, 예컨대 SO2/02 플라즈마를 이용하여 임시 층(140)을 에칭하는 에치를 포함한다. 에치들은 광 정의 가능 층(120)에 비해 제1 하드마스크 층(130)을 선택적으로 에칭하며, 이어서 임시 층(140)을 통해 계속되고, 제2 하드마스크 층(150)에서 정지된다. 광 정의 가능 층(120)의 일부는 패턴 전사 동안에 에칭될 수 있다. 광 정의 가능 층(120)을 형성하는 임의의 남은 레지스트는 기술자에게 공지된 예를 들어 플라즈마 연소(ashing)를 포함하는 다양한 프로세스에 의해 별도로 후속 제거될 수 있다.
도 4 및 5를 참조하면, 어레이 요소들(134), 인터페이스 요소들(136) 및 주변 요소들(138) 사이의 공간들(132, 133)은 바람직하게는 요소들(134, 136, 138)을 에칭하여 트림 에치(trim etch) 후에 변경된 공간들(132a, 133a) 및 요소들(134a, 136a)을 형성함으로써 확장된다. 요소들(134, 136, 138)은 이들 요소를 "축소"하기 위해 등방성 에치를 이용하여 에칭되는 것이 바람직하다. 적절한 에치들은 산소 함유 플라즈마, 예컨대 SO2/O2/N2/Ar 플라즈마, Cl2/O2/He 플라즈마 또는 HBr/O2/N2 플라즈마를 이용하는 에치들을 포함한다. 에치의 정도는, 아래의 설명으로부터 이해되듯이, 요소들(134a, 136a, 138a)의 폭들이 원하는 간격을 갖는 스페이서들(165)(도 7A)의 형성을 가능하게 하도록 선택되는 것이 바람직하다. 예를 들어, 어레이 내의 요소들(134, 136, 138)의 폭은 약 80-120 nm에서 약 40-70 nm로 축소될 수 있다. 이롭게도, 폭 축소 에치는 광 정의 가능 층(120)을 패터닝하는 데 이용되는 포토리소그래픽 기술을 이용하여 가능한 것보다 좁은 라인들을 어레이 내에 형성할 수 있다. 또한, 에치는 요소들(134a, 136a, 138a)의 에지들을 매끄럽게 할 수 있으며, 따라서 이들 요소의 균일성을 향상시킬 수 있다. 요소들(134a, 136a, 138a)은 포토리소그래픽 기술의 해상도 한계 이하의 임계 치수를 갖도록 트리밍될 수 있지만, 알 수 있듯이, 이러한 트림(trim)은 어레이 내의 공간들(132a, 133a) 및 요소들(134a, 136a, 138a)의 피치를 변경하지 않는데, 이는 이러한 요소들 내의 동일 포인트들 간의 거리가 동일하게 유지되기 때문이다. 다른 실시예들에서는, 요소들(134, 136, 138)에 대해 트림 에치를 수행하는 대신에 또는 그에 더하여, 하드마스크 층(130) 및 임시 층(140) 내에 원하는 크기의 요소들을 형성하기 위해, 광 정의 가능 층(120)에서 제1 하드마스크 층(130)으로 패턴을 전사하기 전에 광 정의 가능 층(120) 내의 요소들(124, 126, 128)(도 3)에 대해 트림 에치를 수행할 수 있다는 것을 알 것이다.
도 6을 참조하면, 스페이서 재료의 층(160)이 바람직하게는 하드마스크 층들(130, 150)의 노출된 상부면들 및 측벽들 및 임시 층(140)의 측벽들을 포함하는 노출된 표면들 위에 등각으로(conformally) 블랭킷 퇴적된다. 스페이서 재료는 패턴을 하부의 하드마스크 층(150)에 전사하기 위한 마스크로서 작용할 수 있는 임의의 재료일 수 있다. 스페이서 재료는 바람직하게는 1) 양호한 스텝 커버리지를 갖도록 퇴적될 수 있고, 2) 부분적으로 제조된 집적 회로(100) 내의 다른 재료들에 적합한 온도로 퇴적될 수 있으며, 3) 임시 층(140) 및 제1 및 제2 하드마스크 층들(130, 150)에 대해 선택적으로 이방성 에칭될 수 있다. 바람직한 재료들은 실리콘(예컨대, 비정질 실리콘), 실리콘 산화물 및 실리콘 질화물을 포함한다. 도시된 실시예에서, 스페이서 재료는 실리콘 산화물이며, 이는 마스킹 스택의 다른 선택된 재료들과 연계하여 특별한 이익들을 제공한다.
스페이서 재료의 퇴적을 위한 바람직한 방법들은 화학 기상 증착 및 원자층 퇴적을 포함한다. 층(160)의 두께는 스페이서들(165)(도 10)의 원하는 폭에 기초하여 결정되는 것이 바람직하다. 예를 들어, 도시된 실시예에서, 층(160)은 바람직하게는 약 20-80 nm, 더 바람직하게는 약 40-60 nm의 두께로 퇴적된다. 바람직하게, 스텝 커버리지는 약 80% 이상이며, 더 바람직하게는 약 90% 이상이다.
도 7A를 참조하면, 실리콘 산화물 스페이서 층(160)을 이방성 에칭하여 부분적으로 형성된 집적 회로(100)의 수평 표면들(166)로부터 스페이서 재료를 제거한다. 스페이서 에치로도 알려진 이러한 에치는 예를 들어 CF4/CHF3, C4F4/CH2F2 또는 CHF3/Ar 플라즈마를 포함하는 바이어스 인가 탄화 플루오르 플라즈마를 이용하여, 또는 순수 물리적 스퍼터 에치(예컨대, Ar 스퍼터 에치)를 이용하여 산화물 재료에 대해 수행될 수 있다. 스페이서 에치는 맨드릴들(134a) 및 요소들(136a, 138a) 주위에 스페이서들(165)의 쌍들을 정의한다.
도 7B는 도 7A의 부분적으로 형성된 집적 회로의 평면도를 나타낸다. 알 수 있듯이, 맨드릴들(134a)은 라인 형상인 것에 더하여 다양한 형상을 가질 수 있다. 전술한 바와 같이, 도시된 실시예에서, 기판(110)의 상부는 절연체이고, 스페이서들(165)의 패턴은 기판(110)에 형성될 절연체의 원하는 패턴에 대응한다. 결과적으로, 스페이서들(165) 사이에 열린 공간은 바람직하게는 기판(110) 내의 상감 도전성 인터커넥트들과 같은 도체들의 원하는 배치에 대응한다. 예를 들어, 나중에 제거되는(도 10A-10B) 임의의 맨드릴들(134a)은 나중에 형성되는 인터커넥트들의 위치들에 대응하는 위치들을 가질 수 있다. 이 경우, 일부 맨드릴들(134a)은 맨드릴들(134a)을 이용하여 형성되는 인터커넥트들을 다른 수직 레벨들 상의 전기 피처들에 전기적으로 접속하기 위한 랜딩 패드들을 형성하는 데 사용될 수 있는 확장된 부분들(137)을 가질 수 있다.
도 8을 참조하면, 보호 층(170)이 부분적으로 형성된 집적 회로(100)의 표면 위에 퇴적된다. 보호 층(170)은 또한 선택적으로 정의 가능한 것이 바람직하다. 선택적으로 정의 가능한 층(120)과 같이, 보호 층(170)은 광 정의 가능한 것이 바람직한데, 예컨대 이 분야에 공지된 임의의 포토레지스트를 포함하는 포토레지스트로 형성된다. 또한, 다른 실시예들에서, 광 정의 가능 보호 층(170)은 나노-임프린트 리소그라피에 의한 패터닝에 적합한 레지스트로 형성될 수 있다.
도 9A를 참조하면, 광 정의 가능 층(170)은 예컨대 광 정의 가능 층(120)을 패터닝하는 데 이용되는 것과 동일한 포토리소그래픽 기술을 이용하여 패터닝된다. 바람직하게, 층(170)은 레티클을 통해 방사선에 노출된 후, 부분적으로 제조된 집적 회로(100)의 어레이 영역(102)의 요소들을 노출시키도록 현상된다. 따라서, 일부 스페이서들 또는 스페이서 쌍들이 노출되는 반면, 일부는 보호 층(170)에 의해 보호된다. 또한, 하드마스크 층(130)의 소정 부분들은 노출되는 반면, 소정 부분들은 보호된다.
또한, 인터페이스 영역들(104) 또는 주변(106) 내의 요소들도 패터닝되어, 예를 들어 주변 내에 보이드(174)가 형성된다. 어레이(102) 내의 스페이서들(165)은 이들을 형성하는 데 이용되는 포토리소그래픽 기술의 최소 피치 또는 해상도보다 작은 피치 크기 또는 요소 크기를 갖는 것이 바람직하지만, 층(170) 내에 패터닝된 요소들은 이들을 형성하는 데 사용되는 포토리소그래픽 기술의 최소 피치 또는 해상도 이상의 피치 또는 요소 크기를 갖는 것이 바람직하다는 것을 알 것이다.
도 9B를 참조하면, 피치 멀티플리케이션된 스페이서들(165) 사이의 공간들에 대한 접속들(172)이 패터닝될 수 있다. 접속들(172)은 주변(106) 또는 인터페이스 영역(104) 내에 인터커넥트를 패터닝하는 데 사용되는 것과 같은 더 큰 치수의 요소들(173)의 단부들일 수 있다는 것을 알 것이다. 따라서, 접속들(172)은 하나 이상의 맨드릴(134a)을 더 큰 마스크 요소(173)에 접속하고, 궁극적으로는 어레이(102) 내의 피치 멀티플리케이션된 인터커넥트들에 접속되는 상대적으로 큰 인터커넥트의 형성을 가능하게 할 수 있다.
부분적으로 제조된 집적 회로(100)의 일부 소자들은 층(170)에 의해 계속 보호된다는 것을 알 것이다. 이 경우, 스페이서들(165)은 보호 층(170)의 제거 후에 이들 요소의 치수를 증가시킨다.
도 10A-10B를 참조하면, 노출되거나 보호 층(170)에 의해 보호되지 않은 상태로 남은 제1 하드마스크 층(130) 및 임시 층(140)의 부분들이 바람직하게는 이방성 에치에 의해 선택적으로 제거된다. 이롭게도, 하드마스크 층(130) 및 임시 층(140)의 보호되지 않은 부분들은 단일 단계로, 즉 단일 프로세스 챔버 내에서 인시투로 제거될 수 있다. 하드마스크 층(130)은 예를 들어 HBr/CH4를 포함하는 플라즈마 에치를 이용하여 선택적으로 제거될 수 있으며, 임시 층(140)은 예컨대 SO2/O2 플라즈마 에치를 이용하여 선택적으로 제거될 수 있다. 이어서, 예를 들어 플라즈마 에칭에 의해 보호 층(170)이 제거된다.
따라서, 맨드릴들(134a)(도 9A)이 제거되고, 어레이 영역(102) 내에는 피치 멀티플리케이션된 프리스탠딩 스페이서들(165)이 남는다. 도시된 실시예에서, 스페이서들(165)의 피치 및 스페이서들(165) 사이의 공간들은 포토리소그라피에 의해 최초 형성된 포토레지스트 라인들(124) 및 공간들(122)(도 3)의 대략 절반이다. 예를 들어, 포토레지스트 라인들(124)이 약 200 nm의 피치를 가진 경우, 약 100 nm 이하의 피치를 갖는 스페이서들(165)이 형성될 수 있다. 또한, 주변(106) 또는 인터페이스 영역(104) 내에 패터닝된 요소들도 하부 층들에 전사되어, 예를 들어 하드마스크 층(130) 및 임시 층(140) 내에 보이드 또는 개구(176)가 형성된다.
도 11을 참조하면, 스페이서들(165) 및 제1 하드마스크 층(130) 및 임시 층(140)의 남은 부분들에 의해 정의된 패턴이 제2 하드마스크 층(150)에 전사된다. 전사는 이방성 에치, 예컨대 탄소 플루오르 플라즈마를 이용하는 에치, 예컨대 CF4/O2/CH2F2 함유 플라즈마 에치를 이용하여 달성되는 것이 바람직하다.
이어서, 제2 하드마스크 층(150)에 정의된 마스크를 통해 기판이 처리될 수 있다. 예를 들어, 도 12를 참조하면, 패턴이 기판(110)에 전사될 수 있다. 도 13을 참조하면, 기판(110)이 에칭되는 경우, 기판(110)의 에칭은 스페이서들(165) 및 층들(130, 140)의 남은 부분들을 제거할 수 있다는 것을 알 것이다. 따라서, 제2 하드마스크 층(150)은 기판(110)을 에칭하기 위한 주요 마스크로서 사용된다. 다른 실시예들에서, 스페이서들(165) 및 층들(130, 140)의 남은 부분들은 기판의 처리 전 또는 후에 제거될 수 있다. 도 14를 참조하면, 하드마스크 층(150)은 기판(110)의 처리 후에 제거될 수 있다.
바람직하게, 기판(110)은 적어도 제2 하드마스크 층(150)에 비해 기판(110)에 대해 선택적인 이방성 에치를 이용하여 에칭된다. 기판(110)이 상이한 재료들, 예컨대 상이한 재료들의 층들을 포함하는 경우, 단일 화학이 모든 상이한 재료를 에칭하기에 충분하지 않은 경우에는, 일련의 상이한 화학들, 바람직하게는 드라이 에치 화학들이 그러한 상이한 재료들을 연속적으로 에칭하는 데 사용될 수 있다. 기판(110)의 조성은 예를 들어 형성될 전기 디바이스에 의존할 수 있다.
스페이서들(165)에 의해 형성된 후에 제2 하드마스크 층(150) 및 기판(110)에 전사되는 패턴은 라인들, 예를 들어 전기 디바이스들을 접속하기 위한 인터커넥트들을 정의할 수 있다는 것을 알 것이다. 바람직하게, 전기 디바이스들은 메모리 디바이스의 어레이 영역 내의 메모리 셀들을 형성하는 전기 디바이스들과 같이 어레이 내에 배열된다. 인터커넥트들(172; 도 9B)은 이롭게도 집적 회로의 인터페이스 또는 주변 영역들 내의 더 큰 피처들과 어레이 내의 요소(171)에 의해 정의되는 바와 같은 피치 멀티플리케이션된 피처들 사이의 접속들을 정의할 수 있다. 라인들이 형성된 후, 완전한 집적 회로, 예를 들어 메모리 칩을 형성하기 위해, 부분적으로 제조된 집적 회로(100)에 대해, 보조 전기 디바이스들 및 전기 인터커넥트들을 형성하는 단계를 포함하는 후속 처리 단계들이 수행될 수 있다.
도시된 실시예들의 다양한 변경이 가능하다는 것을 알 것이다. 예를 들어, 통상적으로 피치 멀티플리케이션된 패턴들은 맨드릴의 벽을 따라 형성되는 스페이서들에 의해 형성되는 폐루프들을 포함한다. 결과적으로, 비상감(non-damascene) 프로세스들에서, 스페이서들(165) 사이의 공간이 아니라 스페이서들(165)이 전도성 라인들을 형성하는 데 사용되는 경우, 추가 처리 단계들을 이용하여 그러한 루프들의 단부들을 절단하여 각각이 루프가 2개의 개별적인 비접속 라인들을 형성하게 하는 것이 바람직하다. 이것은 예를 들어, 마스크들의 보호되지 않은 단부들을 에칭하는 동안에 유지될 라인들의 부분들 주위에 보호 마스크를 형성함으로써 달성될 수 있다. 루프의 단부들을 절단하기 위한 적절한 방법이 2004년 8월 31일자로 출원된 Tran 등의 미국 특허 출원 번호 10/931,771에 개시되어 있으며, 그 전체 개시는 본 명세서에 참고로 반영되어 있다. 그러나, 이롭게도, 스페이서들(165)을 이용하여 전도성 재료가 아니라 절연성 재료의 위치를 정의함으로써, 스페이서 루프들의 단부들을 절단하는 단계를 없앨 수 있다. 예를 들어, 스페이서들(165) 사이의 공간들, 예를 들어 맨드릴들에 의해 이전에 점유된 공간들이 인터커넥트들의 위치 및 형상을 결정하는 경우에는 스페이서 루프들의 단부들을 절단할 필요가 없게 된다.
또한, 일부 사례에서, 스페이서들(165)은 기판(110)의 처리 전에 제거될 수 있다. 이러한 제거는 예를 들어 스페이서들(165)이 비교적 높고 얇은 경우에 이로울 수 있다. 그러한 스페이서들은 구조적으로 안정적이지 못할 수 있으며, 기판(110)의 에치 과정을 통해 변형될 수 있어서, 기판 내로 에칭된 패턴에서 에러를 유발할 수 있다. 결과적으로, 스페이서들(165) 및 하드마스크 층(130) 또는 임시 층(140)의 임의의 남은 부분들의 제거는 이롭게도 기판(110)을 처리하는 마스크(이 예에서는 하부 하드마스크 층(150)에 의해 정의됨)를 안정화할 수 있다.
또한, 스페이서들(165)의 피치는 배가 이상이 될 수 있다. 예를 들어, 스페이서들(165)은 맨드릴들(134a)을 제거하고, 스페이서들(165) 주위에 추가 스페이서들을 형성하고, 스페이서들(165)을 제거한 후, 스페이서들(165) 주위에 이전에 형성된 스페이서들 주위의 스페이서들의 다른 세트를 형성하는 것 등에 의해 더욱 피치 멀티플리케이션될 수 있다. 추가적인 피치 멀티플리케이션을 위한 예시적인 방법이 Lowrey 등의 미국 특허 제5,328,810호에 설명되어 있다.
더욱이, 바람직한 실시예들은 수직으로 연속적이거나 비연속적이고 그리고/또는 수직으로 분리될 수 있는 복수의 층 또는 수직 레벨 내에 피치 멀티플리케이션된 피처들을 형성하기 위해 집적 회로 제조 프로세스를 통해 여러 번 이용될 수 있다. 이 경우, 패터닝될 개별 레벨들 각각은 기판(110)을 구성할 것이며, 다양한 층(120-170)이 패터닝될 개별 레벨 상에 형성될 수 있다. 전술한 다양한 층(120-170)의 특정 조성 및 높이는 특정 응용에 따라 변할 수 있다는 것을 알 것이다. 예를 들어, 층(150)의 두께는 기판(110)의 개성, 예를 들어 기판의 화학적 조성, 기판이 단일 또는 다수의 재료층을 포함하는지, 형성될 피처들의 깊이 및 이용 가능한 에치 화학 등에 따라 변할 수 있다. 일부 사례에서, 층들(120-170) 중 하나 이상이 생략되거나 더 많은 층이 추가될 수 있다. 예를 들어, 일부 사례에서, 제2 하드마스크 층(150)에 형성된 패턴은 기판에 대해 선택적인 에치들에 대한 저항이 증가된 하드마스크를 제공하기 위해 하나 이상의 추가 하드마스크 층에 전사될 수 있다.
또한, 하나의 마스크 층을 통한 "처리"는 하부 재료의 에칭을 포함하는 반면, 마스크 층들을 통한 처리는 마스크 층들 아래의 층들에 대해 임의의 반도체 제조 프로세스를 적용하는 것을 포함할 수 있다. 예를 들어, 처리는 마스크 층들을 통해 하부 층들 상에 이온 주입, 확산 도핑, 퇴적, 산화(특히, 폴리머 마스크 아래의 하드마스크를 사용함), 질화 등을 수행하는 것을 포함할 수 있다. 또한, 마스크 층들은 화학적 기계적 연마(CMP)에 대한 스톱 또는 배리어(barrier)로서 사용될 수 있으며, 본 명세서에 그 전체 개시가 참고로 반영된, 2005년 8월 31일자로 출원된 미국 특허 출원 번호 11/216,477에 설명된 바와 같이, CMP는 하부 층들의 평탄화 및 에칭을 가능하게 하기 위해 임의의 층에 대해 수행될 수 있다.
본 명세서의 설명으로부터, 본 발명은 다양한 양태를 포함한다는 것을 알 것이다. 예를 들어, 본 발명의 일 양태에 따르면, 집적 회로 제조를 위한 방법이 제공된다. 이 방법은 기판 위에 포토레지스트 패턴을 형성하기 위해 제1 포토레지스트 층을 패터닝하는 단계를 포함한다. 포토레지스트 패턴은 포토레지스트 층 아래의 하드마스크 층 및 하드마스크 층 아래의 임시 층에 전사된다. 패터닝된 하드마스크 및 임시 층들 위에 스페이서들이 형성된다. 스페이서들 및 패터닝된 하드마스크 및 임시 층들 위에 제2 포토레지스트 층이 퇴적된다. 제2 포토레지스트 층은 패터닝된 하드마스크 및 임시 층들의 소정 부분들을 노출시키고 스페이서들 중 일부를 노출시키면서, 패터닝된 하드마스크 및 임시 층들의 다른 부분들의 바로 위 및 스페이서들 중 다른 스페이서들의 위에는 포토레지스트가 남도록 패터닝된다. 이어서, 패터닝된 하드마스크 및 임시 층들의 노출된 부분들이 선호적으로 제거된다. 제2 포토레지스트 층이 선호적으로 제거된다.
본 발명의 다른 양태에 따르면, 집적 회로를 형성하기 위한 방법이 제공된다. 이 방법은 부분적으로 제조된 집적 회로의 어레이 영역 내의 어레이 마스크 요소들 및 주변 영역 내의 주변 마스크 요소들을 동시에 정의하기 위해 선택적으로 정의 가능한 층을 패터닝하는 단계를 포함한다. 이어서, 복수의 프리스탠딩 스페이서를 형성하기 위해 어레이 영역에서 피치 멀티플리케이션이 수행된다. 프리스탠딩 스페이서들 및 주변 마스크 요소들에 의해 적어도 부분적으로 정의된 패턴이 기판에 동시에 전사된다.
본 발명의 또 다른 양태에 따르면, 집적 회로를 제조하기 위한 방법이 제공된다. 이 방법은 기판 위에 포토레지스트 층을 제공하는 단계를 포함한다. 포토레지스트 층은 포토리소그래픽 기술을 이용하여 패터닝되며, 따라서 보이드들에 의해 분리되는 포토레지스트 재료를 포함하는 포토레지스트 패턴이 형성된다. 포토레지스트 재료 및 보이드들은 집적 회로의 어레이 영역 및 주변 영역 위로 연장한다. 포토레지스트 패턴은 하부의 임시 층에 전사되어, 임시 층 패턴이 형성된다. 이어서, 임시 층 패턴 내의 요소들이 어레이 및 주변 영역들 양자에서 축소된다. 임시 층 요소들 위에 스페이서 재료의 블랭킷 층이 퇴적된다. 임시 층 요소들의 측부들에 스페이서들을 형성하기 위해 블랭킷 층이 에칭된다.
본 발명의 또 다른 양태에 따르면, 부분적으로 제조된 집적 회로가 제공된다. 이 부분적으로 제조된 집적 회로는 하드마스크 층 위의 복수의 마스크 요소를 포함한다. 하드마스크 층은 기판 위에 위치한다. 스페이서들이 마스크 요소들의 측벽들 상에 배치된다. 스페이서들의 일부 및 마스크 요소들의 일부 위에 바로 보호 층이 위치한다. 보호 층은 또한 스페이서들 중 다른 스페이서들 및 마스크 요소들 중 다른 마스크 요소들을 노출 상태로 남긴다.
본 발명의 또 다른 양태에 따르면, 부분적으로 형성된 집적 회로가 제공된다. 이 부분적으로 형성된 집적 회로는 기판 위에 복수의 스페이서를 포함한다. 스페이서들의 각각의 쌍을 구성하는 스페이서들은 선택적으로 에칭 가능한 재료에 의해 분리된다. 패터닝된 포토레지스트 층이 스페이서 쌍들 중 일부 위에 위치한다. 부분적으로 제조된 집적 회로의 주변 또는 인터페이스 영역 내의 적어도 일부 스페이서들 위에 포토레지스트가 위치하는 반면, 부분적으로 제조된 집적 회로의 어레이 영역 내의 스페이서들은 노출된다.
본 발명의 또 다른 양태에 따르면, 부분적으로 형성된 집적 회로가 제공된다. 이 부분적으로 형성된 집적 회로는 부분적으로 형성된 집적 회로의 어레이 영역 위에 배치된 프리스탠딩 스페이서들의 복수의 쌍을 포함한다. 다른 복수의 다른 스페이서 쌍이 복수의 스페이서 쌍과 동일한 레벨로 배치된다. 다른 스페이서 쌍들 각각을 구성하는 스페이서들은 다른 스페이서 쌍들에 대해 선택적으로 에칭 가능한 임시 재료의 측벽들 상에 배치된다. 임시 재료는 하나 이상의 개구를 구비한다.
위의 개시에 더하여, 이 분야의 기술자들은 또한, 본 발명의 범위를 벗어나지 않고, 전술한 방법들 및 구조들에 대해 다양한 생략, 추가 및 변경이 이루어질 수 있다는 것을 알 것이다. 그러한 모든 변경 및 변화는 첨부된 청구항들에 의해 정의되는 바와 같은 본 발명의 범위 내에 속하는 것으로 의도된다.

Claims (59)

  1. 집적 회로 형성 방법으로서,
    선택적으로 정의 가능한 층을 패터닝하여, 부분적으로 제조된 집적 회로의 어레이 영역 내의 어레이 마스크 요소들 및 주변 영역 내의 주변 마스크 요소들을 동시에 정의하는 단계;
    후속하여 상기 어레이 영역에서 피치 멀티플리케이션(pitch multiplication)을 수행하여, 복수의 프리스탠딩 스페이서를 형성하는 단계 - 피치 멀티플리케이션을 수행하는 단계는,
    상기 어레이 및 주변 마스크 요소들의 측벽들 상에 스페이서들을 형성하는 단계,
    상기 스페이서들과 상기 어레이 및 주변 마스크 요소들 상에 보호 재료의 층을 퇴적하는 단계,
    상기 보호 재료를 패터닝하는 단계, 및
    상기 어레이 마스크 요소들을 선호적으로 제거하여, 상기 프리스탠딩 스페이서들을 형성하는 단계를 포함함 - ;
    상기 어레이 마스크 요소들을 선호적으로 제거하면서 상기 주변 마스크 요소들의 섹션들을 선호적으로 제거하여, 상기 주변 마스크 요소들 중 적어도 일부의 각각을 적어도 두 개의 주변 마스크 요소 부분들로 분할하는 단계 - 피치 멀티플리케이션을 수행하는 단계는 다른 복수의 스페이서를 형성하고, 상기 다른 복수의 스페이서 중 적어도 하나는 프리스탠딩 부분 및 상기 주변 마스크 요소 부분들 중 적어도 일부의 측벽을 따라 배치된 부분을 가짐 -; 및
    상기 프리스탠딩 스페이서들 및 상기 주변 마스크 요소들에 의해 적어도 부분적으로 정의되는 패턴을 기판에 동시에 전사하는 단계
    를 포함하는 집적 회로 형성 방법.
  2. 제1항에 있어서, 측벽들 상에 스페이서들을 형성하는 단계는,
    상기 어레이 및 주변 마스크 요소들 상에 스페이서 재료의 블랭킷 층(blanket layer)을 퇴적하는 단계; 및
    상기 블랭킷 층을 이방성 에칭하여 상기 스페이서들을 정의하는 단계
    를 포함하는 집적 회로 형성 방법.
  3. 제1항에 있어서, 상기 보호 재료의 층을 퇴적하는 단계는 포토레지스트를 퇴적하는 단계를 포함하고, 상기 보호 재료를 패터닝하는 단계는 포토리소그라피를 수행하는 단계를 포함하는 집적 회로 형성 방법.
  4. 제1항에 있어서, 상기 보호 재료를 패터닝하는 단계는 상기 보호 재료의 일부를 제거하여 상기 어레이 영역 내의 모든 스페이서들을 노출시키는 단계를 포함하는 집적 회로 형성 방법.
  5. 제2항에 있어서, 상기 블랭킷 층을 퇴적하는 단계는 화학 기상 증착을 수행하는 단계를 포함하는 집적 회로 형성 방법.
  6. 제1항에 있어서, 상기 패턴을 기판에 동시에 전사하는 단계는 상기 부분적으로 제조된 집적 회로의 어레이 및 주변 영역들에 전기 디바이스들을 형성하는 집적 회로 형성 방법.
  7. 제6항에 있어서, 상기 전기 디바이스들은 전기적 인터커넥트들인 집적 회로 형성 방법.
  8. 제7항에 있어서, 상기 패턴을 기판에 동시에 전사하는 단계는 상기 인터커넥트들과 직접 접촉하는 랜딩 패드들(landing pads)을 형성하는 집적 회로 형성 방법.
  9. 제7항에 있어서, 상기 패턴을 기판에 동시에 전사하는 단계는 피치 멀티플리케이션되지 않은(non-pitch multiplied) 인터커넥트들과 접촉하는 피치 멀티플리케이션된 인터커넥트들을 형성하는 집적 회로 형성 방법.
  10. 제1항에 있어서, 상기 선택적으로 정의 가능한 층을 패터닝하는 단계는 포토레지스트 층을 패터닝하는 단계를 포함하는 집적 회로 형성 방법.
  11. 제1항에 있어서, 상기 선택적으로 정의 가능한 층을 패터닝하는 단계는 광 정의 가능한(photodefinable) 층에 트렌치들의 패턴을 형성하고 그 패턴을 아래에 위치한 하드 마스크 층 및 임시 층 - 상기 하드 마스크 층은 상기 임시 층 위에 위치함 - 에 전사하는 단계를 포함하며, 패터닝된 하드 마스크 층 및 임시 층은 상기 어레이 및 주변 마스크 요소들을 정의하는 집적 회로 형성 방법.
  12. 부분적으로 제조된 집적 회로로서,
    상기 부분적으로 제조된 집적 회로의 어레이 영역 위에 배치된 복수의 프리스탠딩 스페이서 쌍; 및
    상기 복수의 프리스탠딩 스페이서 쌍과 동일한 레벨의 다른 복수의 다른 스페이서 쌍 - 상기 다른 스페이서 쌍들의 스페이서들의 적어도 일부분들은 상기 다른 스페이서 쌍들에 대해 선택적으로 에칭 가능한 임시 재료의 측벽들 상에 배치됨 -
    을 포함하며,
    상기 임시 재료에는 하나 이상의 개구가 제공되고,
    상기 다른 스페이서 쌍들 중 일부는 상기 임시 재료의 측벽들 상에 배치된 일부분들을 가지며, 또한 프리스탠딩인 다른 일부분들을 포함하는 부분적으로 제조된 집적 회로.
  13. 제12항에 있어서, 상기 하나 이상의 개구는 상기 부분적으로 제조된 집적 회로의 주변 영역들 내에 배치되는 부분적으로 제조된 집적 회로.
  14. 제13항에 있어서, 상기 하나 이상의 개구는 상기 부분적으로 제조된 집적 회로의 주변 영역들 내의 인터커넥트들의 원하는 형상 및 배치를 갖는 부분적으로 제조된 집적 회로.
  15. 제12항에 있어서, 상기 프리스탠딩 스페이서들은 100 nm보다 작은 분리를 가지며, 상기 다른 스페이서 쌍들은 100 nm보다 큰 분리를 갖는 부분적으로 제조된 집적 회로.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
KR1020097007602A 2006-09-14 2007-08-31 효율적인 피치 멀티플리케이션 프로세스 KR101449772B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/521,851 US7666578B2 (en) 2006-09-14 2006-09-14 Efficient pitch multiplication process
US11/521,851 2006-09-14
PCT/US2007/077463 WO2008033695A2 (en) 2006-09-14 2007-08-31 Efficient pitch multiplication process

Publications (2)

Publication Number Publication Date
KR20090073157A KR20090073157A (ko) 2009-07-02
KR101449772B1 true KR101449772B1 (ko) 2014-10-13

Family

ID=39184460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097007602A KR101449772B1 (ko) 2006-09-14 2007-08-31 효율적인 피치 멀티플리케이션 프로세스

Country Status (7)

Country Link
US (4) US7666578B2 (ko)
EP (1) EP2062288B1 (ko)
JP (1) JP5545524B2 (ko)
KR (1) KR101449772B1 (ko)
CN (1) CN101512726B (ko)
TW (1) TWI365484B (ko)
WO (1) WO2008033695A2 (ko)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7285781B2 (en) * 2004-07-07 2007-10-23 Intel Corporation Characterizing resist line shrinkage due to CD-SEM inspection
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100823706B1 (ko) * 2006-07-21 2008-04-21 삼성전자주식회사 반도체 장치의 신호 라인 구조물 및 이를 제조하는 방법
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100817089B1 (ko) * 2007-02-28 2008-03-26 삼성전자주식회사 이중 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성방법
TWI334163B (en) * 2007-03-30 2010-12-01 Nanya Technology Corp Method of pattern transfer
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8168375B2 (en) * 2007-06-08 2012-05-01 Tokyo Electron Limited Patterning method
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090035902A1 (en) * 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated method of fabricating a memory device with reduced pitch
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US8440569B2 (en) * 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100924193B1 (ko) * 2007-12-24 2009-10-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100948464B1 (ko) * 2007-12-28 2010-03-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US7927928B2 (en) * 2008-01-16 2011-04-19 Cadence Design Systems, Inc. Spacer double patterning for lithography operations
US8039195B2 (en) * 2008-02-08 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Si device making method by using a novel material for packing and unpacking process
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
JP2010050127A (ja) * 2008-08-19 2010-03-04 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20100051577A1 (en) * 2008-09-03 2010-03-04 Micron Technology, Inc. Copper layer processing
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101540083B1 (ko) * 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8187480B2 (en) 2008-11-13 2012-05-29 Seagate Technology, Llc Ultra thin alignment walls for di-block copolymer
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP4825891B2 (ja) 2009-03-31 2011-11-30 株式会社東芝 半導体装置の製造方法およびテンプレート
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20100308409A1 (en) * 2009-06-08 2010-12-09 Globalfoundries Inc. Finfet structures with fins having stress-inducing caps and methods for fabricating the same
JP5464980B2 (ja) * 2009-11-18 2014-04-09 旭化成株式会社 感光性樹脂積層体
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8222140B2 (en) * 2009-12-23 2012-07-17 Intel Corporation Pitch division patterning techniques
FR2960700B1 (fr) * 2010-06-01 2012-05-18 Commissariat Energie Atomique Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8574954B2 (en) 2010-08-31 2013-11-05 Micron Technology, Inc. Phase change memory structures and methods
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8435884B2 (en) * 2010-09-07 2013-05-07 Globalfoundries Inc. Method for forming an interconnect structure
KR20120041558A (ko) * 2010-10-21 2012-05-02 삼성전자주식회사 반도체 소자의 제조 방법
JP2012174976A (ja) * 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20120131049A (ko) * 2011-05-24 2012-12-04 에스케이하이닉스 주식회사 비휘발성메모리장치 및 그 제조 방법
JP5337207B2 (ja) * 2011-07-29 2013-11-06 株式会社東芝 パターン検査データの作成方法
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
JP2013089827A (ja) * 2011-10-20 2013-05-13 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8890214B2 (en) * 2011-12-22 2014-11-18 Nan Ya Technology Corporation Method of manufacturing sidewall spacers on a memory device
US8860184B2 (en) 2011-12-29 2014-10-14 Intel Corporation Spacer assisted pitch division lithography
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9153440B2 (en) * 2012-03-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US9291907B2 (en) 2012-05-18 2016-03-22 Micron Technology, Inc. Methods for forming resist features and arrays of aligned, elongate resist features
US8815752B2 (en) 2012-11-28 2014-08-26 Micron Technology, Inc. Methods of forming features in semiconductor device structures
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8609536B1 (en) * 2012-07-06 2013-12-17 Micron Technology, Inc. Stair step formation using at least two masks
US8969213B2 (en) 2012-07-30 2015-03-03 International Business Machines Corporation Non-lithographic line pattern formation
US8716133B2 (en) 2012-08-23 2014-05-06 International Business Machines Corporation Three photomask sidewall image transfer method
JP5881569B2 (ja) * 2012-08-29 2016-03-09 株式会社東芝 パターン形成方法
JP2014053436A (ja) * 2012-09-06 2014-03-20 Toshiba Corp 半導体記憶装置の製造方法
US8921034B2 (en) * 2012-09-28 2014-12-30 Micron Technology, Inc. Patterned bases, and patterning methods
US8697538B1 (en) * 2012-11-07 2014-04-15 Winbond Electronics Corp. Method of forming pattern in substrate
KR20140064458A (ko) * 2012-11-20 2014-05-28 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US9378979B2 (en) 2012-11-20 2016-06-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices and devices fabricated thereby
JP5673900B2 (ja) * 2012-12-28 2015-02-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
US8987142B2 (en) * 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9069249B2 (en) 2013-02-01 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned patterning with multiple resist layers
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
CN104217942B (zh) * 2013-06-04 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9406331B1 (en) 2013-06-17 2016-08-02 Western Digital (Fremont), Llc Method for making ultra-narrow read sensor and read transducer device resulting therefrom
US20150014772A1 (en) * 2013-07-11 2015-01-15 International Business Machines Corporation Patterning fins and planar areas in silicon
US20150044875A1 (en) * 2013-08-09 2015-02-12 United Microelectronics Corp. Method of forming pattern
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9466486B2 (en) * 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9136106B2 (en) * 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9362119B2 (en) * 2014-04-25 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for integrated circuit design and fabrication
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9293343B2 (en) 2014-07-02 2016-03-22 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
US9406511B2 (en) * 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
KR20160022637A (ko) * 2014-08-20 2016-03-02 삼성전자주식회사 플래시 메모리 소자의 제조 방법
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
TWI653687B (zh) 2015-07-01 2019-03-11 聯華電子股份有限公司 半導體元件及其製作方法
US10109674B2 (en) * 2015-08-10 2018-10-23 Qualcomm Incorporated Semiconductor metallization structure
KR102436100B1 (ko) * 2015-09-24 2022-08-24 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9812364B2 (en) 2015-10-28 2017-11-07 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device with an overlay mask pattern
CN105278233A (zh) * 2015-11-06 2016-01-27 武汉新芯集成电路制造有限公司 一种双重曝光工艺
KR102311186B1 (ko) 2015-11-19 2021-10-08 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9640409B1 (en) * 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US9793271B1 (en) * 2016-04-29 2017-10-17 International Business Machines Corporation Semiconductor device with different fin pitches
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
WO2018044713A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
WO2018156985A1 (en) * 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
TWI756367B (zh) 2017-02-23 2022-03-01 日商東京威力科創股份有限公司 矽氮化物之準原子層蝕刻方法
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
CN109103074B (zh) * 2018-08-06 2021-07-02 上海华虹宏力半导体制造有限公司 自对准双重图形化工艺的修正方法及其半导体器件
KR20200039073A (ko) * 2018-10-04 2020-04-16 삼성전자주식회사 반도체 장치의 제조 방법
KR20200054407A (ko) * 2018-11-09 2020-05-20 삼성전자주식회사 반도체 소자의 제조 방법
US10763262B2 (en) * 2018-11-23 2020-09-01 Nanya Technology Corporation Method of preparing semiconductor structure
US11676817B2 (en) 2019-08-14 2023-06-13 Tokyo Electron Limited Method for pitch split patterning using sidewall image transfer
WO2021029976A1 (en) * 2019-08-14 2021-02-18 Tokyo Electron Limited Method for pitch split patterning using sidewall image transfer
CN113808938A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 一种多重图形化的方法
CN114068408A (zh) 2020-07-31 2022-02-18 联华电子股份有限公司 半导体元件及其制作方法
TWI822307B (zh) * 2022-09-06 2023-11-11 力晶積成電子製造股份有限公司 製作選擇閘與字元線的雙重圖案方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030096563A (ko) * 2002-06-14 2003-12-31 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
KR20050052213A (ko) * 2003-11-29 2005-06-02 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
US20060003182A1 (en) 2004-07-01 2006-01-05 Lane Richard H Method for forming controlled geometry hardmasks including subresolution elements and resulting structures
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237Y2 (ko) 1978-12-28 1982-10-22
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS63260707A (ja) 1987-04-17 1988-10-27 Yoshida Shokai:Kk 工作機用自動ケレ−装置
JPS6435916U (ko) 1987-08-28 1989-03-03
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
JPH025522A (ja) * 1988-06-24 1990-01-10 Fujitsu Ltd パターン形成方法
JPH03257825A (ja) * 1990-03-07 1991-11-18 Toshiba Corp 半導体装置の製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) * 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (ko) * 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) * 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) * 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) * 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
JP4095588B2 (ja) * 2004-07-01 2008-06-04 旺宏電子股▲分▼有限公司 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) * 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) * 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030096563A (ko) * 2002-06-14 2003-12-31 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
KR20050052213A (ko) * 2003-11-29 2005-06-02 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
US20060003182A1 (en) 2004-07-01 2006-01-05 Lane Richard H Method for forming controlled geometry hardmasks including subresolution elements and resulting structures
US20060046484A1 (en) * 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication

Also Published As

Publication number Publication date
US9035416B2 (en) 2015-05-19
US8012674B2 (en) 2011-09-06
CN101512726B (zh) 2011-11-16
JP2010503995A (ja) 2010-02-04
TW200832508A (en) 2008-08-01
US20110291224A1 (en) 2011-12-01
EP2062288A2 (en) 2009-05-27
EP2062288B1 (en) 2013-06-19
WO2008033695A3 (en) 2008-08-07
WO2008033695A2 (en) 2008-03-20
US20080070165A1 (en) 2008-03-20
JP5545524B2 (ja) 2014-07-09
US8450829B2 (en) 2013-05-28
US20130256827A1 (en) 2013-10-03
TWI365484B (en) 2012-06-01
KR20090073157A (ko) 2009-07-02
US20100112489A1 (en) 2010-05-06
US7666578B2 (en) 2010-02-23
CN101512726A (zh) 2009-08-19

Similar Documents

Publication Publication Date Title
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
US11348788B2 (en) Methods for device fabrication using pitch reduction
US7759197B2 (en) Method of forming isolated features using pitch multiplication
KR101003897B1 (ko) 피치 다중 콘택트 형성 방법
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP4945802B2 (ja) ピッチ増倍を使用して製造された集積回路、及びその製造方法
US7253118B2 (en) Pitch reduced patterns relative to photolithography features
US7429536B2 (en) Methods for forming arrays of small, closely spaced features

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190919

Year of fee payment: 6