TWI822307B - 製作選擇閘與字元線的雙重圖案方法 - Google Patents

製作選擇閘與字元線的雙重圖案方法 Download PDF

Info

Publication number
TWI822307B
TWI822307B TW111133712A TW111133712A TWI822307B TW I822307 B TWI822307 B TW I822307B TW 111133712 A TW111133712 A TW 111133712A TW 111133712 A TW111133712 A TW 111133712A TW I822307 B TWI822307 B TW I822307B
Authority
TW
Taiwan
Prior art keywords
patterns
layer
width
series
pattern
Prior art date
Application number
TW111133712A
Other languages
English (en)
Other versions
TW202412176A (zh
Inventor
莊易曄
王子嵩
陳立達
高舜裕
Original Assignee
力晶積成電子製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶積成電子製造股份有限公司 filed Critical 力晶積成電子製造股份有限公司
Priority to TW111133712A priority Critical patent/TWI822307B/zh
Priority to CN202211149733.2A priority patent/CN117715425A/zh
Priority to US18/139,347 priority patent/US20240081056A1/en
Application granted granted Critical
Publication of TWI822307B publication Critical patent/TWI822307B/zh
Publication of TW202412176A publication Critical patent/TW202412176A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明提出了一種製作選擇閘與字元線的雙重圖案方法,包含在一目標層上形成第一串列圖案,該第一串列圖案包含字元線圖案與選擇閘圖案、在第一串列圖案上形成共形的間隔層,其中該間隔層在第一串列圖案之間形成溝槽、在該間隔層上形成一填充層,該填充層填滿該些溝槽、移除溝槽外的填充層,如此溝槽中的填充層形成第二串列圖案,第二串列圖案與第一串列圖案間隔排列、移除裸露的間隔層,如此第一串列圖案與第二串列圖案在目標層上構成間隔排列的目標圖案、以及以該些目標圖案為遮罩進行蝕刻製程移除裸露的目標層,如此形成字元線與選擇閘。

Description

製作選擇閘與字元線的雙重圖案方法
本發明大體上與一種製作選擇閘與字元線的雙重圖案方法有關,更具體言之,其係關於一種以負型雙重圖案製作選擇閘與字元線的方法。
微影製程係為利用曝光顯影步驟來將光罩上的電路圖案縮微轉印至晶圓上,藉以製作出特定線路圖形的製程。然而,隨著半導體製程的微縮,傳統的光學微影技術已面臨了實作瓶頸。以現今主流的193奈米(nm)波長的氟化氬(ArF)雷射光源為例,其可達到的最小電晶體半間距(half-pitch)為65奈米,若再搭配業界習用的浸潤式微影(Immersion Lithography)技術,電晶體半間距則可以再推進至45奈米,但這已是微影曝光的物理極限。若要實現45奈米以下製程半間距的要求,則須仰賴更高階的微影技術,如浸潤式微影搭配雙圖案法(Double Patterning)技術、極紫外光(Extreme Ultra Violet, EUV)技術、無光罩微影(Maskless Lithography, ML2)技術,以及奈米轉印(Nano-imprint)等技術。
在上述所提的各種微影技術中,自對準雙重圖案法(Self-Aligned Double Patterning, SADP)是目前有別於雙微影蝕刻法(litho-etch-litho-etch)、在商用化實作中最成熟的技術之一,其能夠使用現有的設備來達成更微細的線路製作,而無需換購極為昂貴黃光機台或是進行大規模的資本投資。在業界雙圖案技術與相關設備逐漸成熟的環境下,原本面臨物理極限的193奈米浸潤式微影因而得以延伸應用至32奈米與22奈米製程節點,成為下一世代微影製程的主流技術。
所謂的雙重圖案技術,即為將原本單一綢密的半導體線路圖形分成兩個交錯或互補的圖案,並透過浸潤式微影等微影技術分別轉印之,再將曝光在晶圓上的兩個圖案結合達到最後完整的電路圖案,其可減輕依賴第一次光罩與與第二次光罩重疊精準度的需求。將此技術應用在現今儲存型快閃記憶體(NAND flash)的製程中,其可在記憶區塊(block)中製作出間距在28奈米以下的字元線(word line)或位元線(bit line)結構,有效地增進記憶體在單位面積下所能達到的儲存容量。
對於現今一般習知的自對準雙重圖案技術在儲存型快閃記憶體的製作中,特別係關於串列區(string)中字元線與選擇閘(select gate, SG)等結構之製作中,其多採用正型自對準雙重圖案法(positive SADP),其中以雙重圖案中的間隔層來定義串列圖案而以雙重圖案中的芯層與缺口來定義串列圖案之間的間隔。此做法在線寬(critical dimension)20奈米以上的製程節點時沒有問題,但在現今半導體線路佈局的往更小的圖形線寬與密度日趨緻密的趨勢發展下,以正型自對準雙重圖案法來製作串列串圖案的缺點逐漸暴露出來,包含選擇閘的寬度只能是特定的尺寸、選擇閘與相鄰字元線之間的間距無法調整、光阻疊層偏移(overlay shift)的裕度不足、以及微負載效應(micro loading effect)導致邊緣圖形缺陷等缺點。
故此,為了因應目前半導體線路佈局的圖形線寬不斷微縮以及圖形密度日趨緻密的趨勢,本領域之技術人士亟需研究並改良目前業界習用的雙重圖案技術,以期能解決上述習知問題。
有鑑於前述現有自對準雙重圖案法(SADP)在儲存型快閃記憶體的製作方面的缺陷,本發明提出了一種新穎的自對準雙重圖案法,其特點在採用負型自對準雙重圖案法(negative SADP)來製作串列區(string)中的字元線與選擇閘等結構,可以有效解決前述使用正型自對準雙重圖案法所會遇到的各種問題。
本發明的目的在於提出一種製作選擇閘與字元線的雙重圖案方法,包含提供一基底,其上具有一目標層、在該目標層上形成第一串列圖案,其中該些第一串列圖案往第一方向延伸並在與該第一方向正交的第二方向上間隔排列,該些第一串列圖案包含在該第二方向上寬度較小的字元線圖案與在該第二方向上寬度較大的選擇閘圖案、在該些第一串列圖案與該基底上形成一共形的間隔層,其中該間隔層在部分的該些第一串列圖案之間形成溝槽,該些溝槽往該第一方向延伸並在該第二方向上與該些第一串列圖案間隔排列、在該間隔層上形成一填充層,該填充層填滿該些溝槽、移除該些溝槽外的該填充層,如此該些溝槽中剩餘的該填充層形成第二串列圖案,該些第二串列圖案往該第一方向延伸並在該第二方向上與該些第一串列圖案間隔排列、進行第一蝕刻製程,異向性地移除裸露的該間隔層,如此該些第一串列圖案與該些第二串列圖案在該目標層上構成間隔排列的目標圖案、以及以該些目標圖案為蝕刻遮罩進行第二蝕刻製程,異向性地移除裸露的該目標層,如此形成字元線與選擇閘。
本發明的這類目的與其他目的在閱者讀過下文中以多種圖示與繪圖來描述的較佳實施例之細節說明後應可變得更為明瞭顯見。
現在下文將詳細說明本發明的示例性實施例,其會參照附圖示出所描述之特徵以便閱者理解並實現技術效果。閱者將可理解文中之描述僅透過例示之方式來進行,而非意欲要限制本案。本案的各種實施例和實施例中彼此不衝突的各種特徵可以以各種方式來加以組合或重新設置。在不脫離本發明的精神與範疇的情況下,對本案的修改、等同物或改進對於本領域技術人員來說是可以理解的,並且旨在包含在本案的範圍內。
閱者應能容易理解,本案中的「在…上」、「在…之上」和「在…上方」的含義應當以廣義的方式來解讀,以使得「在…上」不僅表示「直接在」某物「上」而且還包括在某物「上」且其間有居間特徵或層的含義,並且「在…之上」或「在…上方」不僅表示「在」某物「之上」或「上方」的含義,而且還可以包括其「在」某物「之上」或「上方」且其間沒有居間特徵或層(即,直接在某物上)的含義。此外,諸如「在…之下」、「在…下方」、「下部」、「在…之上」、「上部」等空間相關術語在本文中為了描述方便可以用於描述一個元件或特徵與另一個或多個元件或特徵的關係,如在附圖中示出的。
如本文中使用的,術語「基底」是指向其上增加後續材料的材料。可以對基底自身進行圖案化。增加在基底的頂部上的材料可以被圖案化或可以保持不被圖案化。此外,基底可以包括廣泛的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由諸如玻璃、塑膠或藍寶石晶圓的非導電材料製成。
如本文中使用的,術語「層」是指包括具有厚度的區域的材料部分。層可以在下方或上方結構的整體之上延伸,或者可以具有小於下方或上方結構範圍的範圍。此外,層可以是厚度小於連續結構的厚度的均質或非均質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在頂表面和底表面處的任何水平面對之間。層可以水準、豎直和/或沿傾斜表面延伸。基底可以是層,其中可以包括一個或多個層,和/或可以在其上、其上方和/或其下方具有一個或多個層。層可以包括多個層。例如,互連層可以包括一個或多個導體和接觸層(其中形成觸點、互連線和/或通孔)和一個或多個介電層。
閱者通常可以至少部分地從上下文中的用法理解術語。例如,至少部分地取決於上下文,本文所使用的術語「一或多個」可以用於以單數意義描述任何特徵、結構或特性,或者可以用於以複數意義描述特徵、結構或特性的組合。類似地,至少部分地取決於上下文,諸如「一」、「一個」、「該」或「所述」之類的術語同樣可以被理解為傳達單數用法或者傳達複數用法。另外,術語「基於」可以被理解為不一定旨在傳達排他性的因素集合,而是可以允許存在不一定明確地描述的額外因素,這同樣至少部分地取決於上下文。
閱者更能了解到,當「包含」與/或「含有」等詞用於本說明書時,其明定了所陳述特徵、區域、整體、步驟、操作、要素以及/或部件的存在,但並不排除一或多個其他的特徵、區域、整體、步驟、操作、要素、部件以及/或其組合的存在或添加的可能性。
現在下文中將提供實施例搭配圖示來說明本發明之方法。其中,第1A圖至第9A圖係依序繪示出根據本發明較佳實施例中製作選擇閘與字元線的雙重圖案方法(self-aligned double patterning, SADP)的流程的截面示意圖,第1B圖至第9B圖則為對應該些截面圖的頂視示意圖。本發明所提出的自對準雙重圖案法為一種負型自對準雙重圖案法(negative SADP),其中以雙重圖案中的芯層與開口來定義串列圖案而以雙重圖案中的間隔層來定義串列圖案之間的間隔。
首先在流程一開始,如第1A圖與第1B圖所示,提供一基底100來作為本發明結構中半導體元件的設置基礎。基底100的材質可包含矽基底、含矽基底、三五族覆矽基底(例如GaN-on-silicon)、石墨烯覆矽基底(graphene-on- silicon)或矽覆絕緣(silicon-on-insulator,SOI)基底等,但不以此為限定。在本發明實施例中,基底100上界定有一記憶單元區100a與一週邊區100b。記憶單元區100a上預定會形成記憶單元(cell)、字元線(word line)、位元線(bit line)、選擇閘(select gate)以及儲存節點(storage node)等記憶體結構,週邊區100b上則會形成週邊電路,包含字元線驅動器、位址選擇器、緩衝器、閘極電壓控制器及/或電流感測放大器等電路部件。
復參照第1A圖。在本發明較佳實施例中,基底100上依序形成有一目標層102、一第一硬遮罩層104、一芯層106以及一第二硬遮罩層108。其中,目標層102係設定要於後續製程中被圖案化,以形成各種半導體元件之組成結構或導電線路。以本發明實施例為例,目標層102係用來形成導電線路,如一NAND快閃記憶體(flash)線路佈局中的字元線與選擇閘等線路結構,其材質可包含多晶矽、非晶矽、金屬矽化物或金屬材料等等。第一硬遮罩層104係作為圖案化目標層102的製程中所使用之遮罩,其材質可為氧化矽。芯層106會於後續製程中被圖案化成雙重圖案中的第一重圖案,其材質可包含氮化矽、氧化矽、多晶矽、非晶矽或是非晶碳等,不以此為限,但其須與下方的第一硬遮罩層104具有不同蝕刻選擇比,亦即對一蝕刻製程而言,芯層106與第一硬遮罩層104會具有顯著不同的蝕刻速率,以利於後續製程中使用芯層106為蝕刻遮罩來圖案化第一硬遮罩層104與目標層102。第二硬遮罩層108係作為圖案化芯層106的製程中所使用之遮罩,亦可作為一蝕刻停止層,其材質可為旋塗低介電材料(Spin-on Hard Mask, SoHM),如聚甲基矽倍半氧烷(methylsilsesquioxane, MSQ)。須注意上述的層結構僅為本發明的一般基本實施態樣,在其他實施例中,基底100上或基底100本身可能包含了更多層結構,但其不影響本發明方法之概念。此外,在其他實施例中,其也可能省略第一硬遮罩層104與第二硬遮罩層108,直接圖案化芯層106及/或目標層102。
請參照第1A圖與第1B圖。在提供了基底100與上述層結構之後,接著透過微影製程在第二硬遮罩層108上形成圖案化第一光阻110。在本發明較佳實施例中,第一光阻110界定出了雙重圖案中的第一串列(string)圖案112,其中包含了在第二方向D2上寬度W1較小的字元線圖案112a與在第二方向D2上寬度W2較大(如字元線圖案寬度的三到五倍)的選擇閘圖案112b。如第1B圖所示,該些第一串列圖案112往第一方向D1延伸並在與第一方向D1正交的第二方向D2上間隔排列。該些圖案後續將轉移到下方的芯層106上,成為雙重圖案中的第一重圖案。基底100的週邊區100b上由於不形成記憶體串列,故不會形成光阻圖案。須注意本發明實施例中具有多組串列圖案,一組串列圖案包含了三個字元線圖案112a與位於在第二方向D2上最外兩側的兩個選擇閘圖案112b。圖中例示出了兩組串列,然而在實作中,一組串列圖案可能包含了32個、64個或是更多個字元線圖案,且可具有超過兩組的串列圖案。基本上,第一串列圖案112是以等間距的方式排列,如圖中的間距S1(規律間距)。然而本發明實施例中也可具有不規律的間距,如圖中的間距S2,或是相鄰不同組串列圖案的選擇閘圖案112b之間的間距S3。
請參照第2A圖與第2B圖。在圖案化第一光阻110形成後,接下來以該第一光阻110為蝕刻遮罩進行蝕刻製程,移除裸露的第二硬遮罩層108以及其下的芯層106,將第一串列圖案112轉移到第二硬遮罩層108以及芯層106上。更具體言之,此蝕刻製程可包含先移除裸露的第二硬遮罩層108,接著移除第一光阻110,再以圖案化的第二硬遮罩層108為遮罩移除裸露的芯層106,在第二硬遮罩層108以及芯層106中形成第一串列圖案112,其包含字元線圖案112a與選擇閘圖案112b。第一串列圖案112裸露出下方的第一硬遮罩層104。
須注意在本發明實施例中,在第二硬遮罩層108以及芯層106中形成第一串列圖案112後還會進行一修整(trimming)製程,在側向上移除部分的第二硬遮罩層108與芯層106,將該些第一串列圖案112在第二方向D2上的寬度減少至目標線寬(critical dimension),以製作出更微細的記憶單元。修整製程可為一側向蝕刻製程。從圖中可以看到,第2B圖中修整後的字元線圖案112a在第二方向D2上的寬度W1小於第1B圖中修整前的字元線圖案112a在第二方向D2上的寬度W1。在本發明實施例中,修整後的字元線圖案112a在第二方向D2上的間距S1(規律間距)較佳為三倍的字元線圖案112a寬度W1。間距S2(不規律間距)可能小於兩倍的字元線圖案112a寬度W1。相鄰不同組串列圖案的選擇閘圖案112b之間的間距S3可能為字元線圖案112a的寬度W1的數倍以上。
請參照第3A圖與第3B圖。在圖案化第二硬遮罩層108與芯層106形成第一串列圖案112後,接著在第一串列圖案112與第一硬遮罩層104上形成一共形的間隔層114。間隔層114會完整地覆蓋住基底的整個表面,其材質可為氧化矽,可以原子層沉積法精準地控制厚度而形成。在本發明較佳實施例中,間隔層114的厚度W3可等於字元線圖案112a在第二方向D2上的寬度W1。以此設置,間隔層114會在部分的第一串列圖案112之間(規律間距S1中,較佳為三倍字元線圖案112a線寬W1)形成溝槽116,其在第二方向D2上的寬度W4同樣等於字元線圖案112a的寬度W1。而對於間距S2(不規律間距,小於兩倍的字元線圖案112a線寬W1)而言,共形的間隔層114會在其中合併,填滿該間距S2。從第3B圖來看,該些溝槽116往第一方向D1延伸並在第二方向D2上與第一串列圖案112間隔排列,特別是第一串列圖案112中的字元線圖案112a。
請參照第4A圖與第4B圖。在間隔層114形成後,接著在間隔層114上形成一填充層118。填充層118會完整地覆蓋住基底的整個表面,其材質可為底部抗反射層(BARC)等有機矽氧烷薄膜,或是三層結構(tri-layer),如底部抗反射層、低溫熱氧化層以及光阻層所構成的三層結構,其可以旋塗或是化學氣相沉積(CVD)等方式形成在間隔層114上並填滿該些溝槽116,提供平坦表面。以此方式形成的間隔層114將於後續製程中被圖案化成第二串列圖案。
請參照第5A圖與第5B圖。在填充層118形成後,接著進行一回蝕刻製程移除位於間隔層114頂面高度以上的填充層118部位,如此裸露出部分被填充層118所覆蓋的間隔層114部位,其包含位於第一串列圖案112周圍與其上方的間隔層114部位,而填充層118也在此步驟中被圖案化,其位於第一串列圖案112之間的圖案即為本發明的第二串列圖案119(第二重圖案)。此時該些第二串列圖案119並未個別獨立,仍有彼此相連的部位。
復參照第5A圖與第5B圖。回蝕刻製程過後,接下來形成圖案化的第二光阻120。在本發明實施例中,第二光阻120係作為蝕刻遮罩來圖案化前述經過回蝕刻的填充層118,使得各第二串列圖案119斷開彼此獨立。再者,第二光阻120也可以用來同時界定出週邊區100b中的閘極圖案。如圖所示,第二光阻120包含第一部分120a與第二部分120b,其中第一部分120a位於各組串列圖案上,第二部分120b位於週邊區100b上。更具體言之,位於各組串列圖案上的光阻第一部分120a在第一方向D1上會與下方的第一串列圖案112對齊。光阻第一部分120a在第二方向D2上不會延伸超出各組串列圖案最外側的兩個選擇閘圖案112b。如此,被光阻第一部分120a所遮蓋住的填充層118即為本發明中的第二串列圖案119,其在第二方向D2上會與第一串列圖案112間隔排列且在第一方向D1上對齊。第二串列圖案119的寬度W5會與原本溝槽116的寬度W4相同,較佳等於字元線圖案112a寬度W1。另一方面,光阻第二部分120b則位於週邊區100b上,其界定出週邊元件的閘極圖案。
請參照第6A圖與第6B圖。在第二光阻120形成後,接著以該第二光阻120為蝕刻遮罩進行一異向性蝕刻製程,移除裸露的填充層118,如此填充層118形成了位於記憶單元區100a上的第二串列圖案119以及位於週邊區100b上的閘極圖案120b。該些第二串列圖案119與第一串列圖案112在第二方向D2上間隔排列且較佳在第一方向D1上對齊。更具體言之,第二串列圖案119與第一串列圖案112中的字元線圖案112a共同構成了本發明中所要界定的字元線圖案,每組字元線圖案在第二方向D2上的最外兩側具有第一串列圖案112中的兩個選擇閘圖案112b。
請參照第7A圖與第7B圖。在第二串列圖案119形成後,接下來再次進行一回蝕刻製程,移除位於芯層106頂面高度以上的間隔層114,如此裸露出芯層106(即第一串列圖案112)以及位於基底表面的第一硬遮罩層104。須注意此回蝕刻製程會移除部分位於相鄰選擇閘圖案112b之間的間隔層114以及週邊區100b上裸露的間隔層114,如此,從第7B圖來看,間隔層114僅剩餘位於第一串列圖案112周圍的部分。此回蝕刻製程也同時會移除芯層106頂面高度以上的填充層118與第二硬遮罩層108,使得第一串列圖案112、第二串列圖案119以及周圍的間隔層114的頂面齊平,以方便後續製程的進行。
請參照第8A圖與第8B圖。在裸露出芯層106後,接著進行異向性蝕刻製程移除裸露的間隔層114,如此形成位於第一硬遮罩層104上的第一串列圖案112、第二串列圖案119以及閘極圖案120b,其中第一串列圖案112完全由芯層106所形成,第二串列圖案119則由下方的間隔層114與上方的填充層118所組成。第一串列圖案112與第二串列圖案119係共同構成了本發明的目標圖案,包含字元線圖案與選擇閘圖案,其在第二方向D2上間隔排列,在第一方向上D2對齊。此外,週邊區100b上亦同時形成閘極圖案120b。
請參照第9A圖與第9B圖。在移除間隔層114後,接著以該第一串列圖案112、第二串列圖案119以及閘極圖案120b為蝕刻遮罩進行異向性蝕刻製程,移除裸露的第一硬遮罩層104以及其下的目標層102,將該些圖案轉移到第一硬遮罩層104以及目標層102上。更具體言之,此蝕刻製程可包含先移除裸露的第一硬遮罩層104,接著再以該圖案化後的第一硬遮罩層104為遮罩移除裸露的目標層102,如此在基底100上形成本發明位於記憶單元區100a中的字元線122與選擇閘124以及位於週邊區100b中的閘極126。
根據上述實施例做法,本發明採用負型雙重圖案法來製作NAND快閃記憶體結構中的字元線與選擇閘有以下幾點:
1. 在傳統的正型雙重圖案法中,由於線條圖案是以間隔層(對應本案的間隔層114)來界定,其具有數倍線寬的選擇閘124通常是以光阻阻擋數個間隔層及/或其上的溝槽(對應本案第3A圖的溝槽116)的方式來界定。故此,以傳統正型雙重圖案法所製作出的選擇閘在第二方向D2上的寬度一定是字元線寬度(即間隔層厚度)的奇數倍。相較於此,由於本案採用的是負型雙重圖案法,選擇閘在第二方向D2上的寬度在流程一開始即為第一光阻110中的選擇閘圖案112b所定義,其寬度值不會受限為字元線寬度的奇數倍,賦與選擇閘設計更高的自由度。
2. 承上述說明,由於以傳統正型雙重圖案法來界定選擇閘可能需要在間隔層上阻擋光阻層,這些光阻層與間隔層所形成的第一串列圖案之間的疊層偏移(overlay shift)僅有正負半個F或一個F(即極限線寬)的裕度,如此容易導致疊層偏移失準使得所製作出的選擇閘寬度過大或是圖形不均。本發明由於選擇閘直接由第一光阻110來界定,不需要進行上述步驟,故也不會產生此習知問題。再者,如第5A圖所示,本發明第二光阻120的第一部分120a在第二方向D2上係延伸落在各組串列圖案中的最外兩側的兩個選擇閘圖案112b之上,故製程中疊層偏移的裕度可大於2F,所製作出的選擇閘不會有寬度過大或是圖形不均的問題。
3. 在傳統的正型雙重圖案法中,最鄰近兩側選擇閘的邊緣字元線圖案(由間隔層所形成)在蝕刻製程中容易因為鄰近選擇閘的遮罩高度較高以及微負載效應的原因而導致其圖形受損或倒塌,如此後續製程中以此圖形製作出的字元線會有圖形缺陷。相較於此,本發明邊緣字元線圖案是由芯層106或是後續所形成的填充層118所界定,且在各項蝕刻製程中邊緣字元線圖案與鄰近選擇閘圖案的遮罩高度都一致,如第2A圖與第7A圖所示,故不易受到微負載效應的影響而導致邊緣字元線缺陷。
4. 最後,在實作中,由於高電場以及微細線寬的環境容易導致字元線與選擇閘之間產生介電崩潰或是漏電等問題,設計上會希望選擇閘與邊緣字元線之間的間距是彈性可調整的。對此,傳統正型雙重圖案法所形成的邊緣字元線與選擇閘之間的線寬一定是一倍的字元線寬度(1F),無法彈性調整。相較於此,以本發明負型雙重圖案法製作出的邊緣字元線與選擇閘之間的線寬S2並未有所限制。如第2A圖所示,當第一串列圖案112中的選擇閘圖案112b與邊緣字元線圖案112a的間距S1是三倍字元線線寬時(3F),最終選擇閘與字元線之間的間距會是常規的一倍字元線線寬(1F,規律間距)。當第一串列圖案112中的選擇閘圖案112b與邊緣字元線圖案112a的間距S2小於兩倍字元線線寬時(<2F),其最終選擇閘與字元線之間的間距會因為填充的間隔層114在其中合併的緣故,仍是原來的間距S2(不規律間距),其數值可在介於1F至2F之間彈性調整不受限。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100:基底 100a:記憶單元區 100b:週邊區 102:目標層 104:第一硬遮罩層 106:芯層 108:第二硬遮罩層 110:第一光阻 112:第一串列圖案 112a:字元線圖案 112b:選擇閘圖案 114:間隔層 116:溝槽 118:填充層 119:第二串列圖案 120:第二光阻 120a:第一部分 120b:第二部分(閘極圖案) 122:字元線 124:選擇閘 126:閘極 D1:第一方向 D2:第二方向 S1, S2, S3:間距 W1, W2, W3, W4:寬度
本說明書含有附圖併於文中構成了本說明書之一部分,俾使閱者對本發明實施例有進一步的瞭解。該些圖示係描繪了本發明一些實施例並連同本文描述一起說明了其原理。在該些圖示中: 第1A圖、第2A圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖以及第9A圖為根據本發明較佳實施例中製作選擇閘與字元線的雙重圖案方法的流程的截面示意圖;以及 第1B圖、第2B圖、第3B圖、第4B圖、第5B圖、第6B圖、第7B圖、第8B圖以及第9B圖為根據本發明較佳實施例中製作選擇閘與字元線的雙重圖案方法的流程的頂視示意圖。 須注意本說明書中的所有圖示皆為圖例性質,為了清楚與方便圖示說明之故,圖示中的各部件在尺寸與比例上可能會被誇大或縮小地呈現,一般而言,圖中相同的參考符號會用來標示修改後或不同實施例中對應或類似的元件特徵。
100a:記憶單元區
100b:週邊區
106:芯層
108:第二硬遮罩層
112:第一串列圖案
112a:字元線圖案
112b:選擇閘圖案
114:間隔層
118:填充層
119:第二串列圖案
120:第二光阻
120a:第一部分
120b:第二部分(閘極圖案)
D2:第二方向
W4:寬度

Claims (13)

  1. 一種製作選擇閘與字元線的雙重圖案方法,包含: 提供一基底,其上具有一目標層; 在該目標層上形成第一串列圖案,其中該些第一串列圖案往第一方向延伸並在與該第一方向正交的第二方向上間隔排列,該些第一串列圖案包含在該第二方向上寬度較小的字元線圖案與在該第二方向上寬度較大的選擇閘圖案; 在該些第一串列圖案與該基底上形成一共形的間隔層,其中該間隔層在部分的該些第一串列圖案之間形成溝槽,該些溝槽往該第一方向延伸並在該第二方向上與該些第一串列圖案間隔排列; 在該間隔層上形成一填充層,該填充層填滿該些溝槽; 移除該些溝槽外的該填充層,如此該些溝槽中剩餘的該填充層形成第二串列圖案,該些第二串列圖案往該第一方向延伸並在該第二方向上與該些第一串列圖案間隔排列; 進行第一蝕刻製程,異向性地移除裸露的該間隔層,如此該些第一串列圖案與該些第二串列圖案在該目標層上構成間隔排列的目標圖案;以及 以該些目標圖案為蝕刻遮罩進行第二蝕刻製程,異向性地移除裸露的該目標層,如此形成字元線與選擇閘。
  2. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,其中在該目標層上形成該些第一串列圖案的步驟包含: 在該目標層上形成一芯層; 在該芯層上形成第一光阻,該第一光阻界定出該些字元線圖案與該些選擇閘圖案;以及 以該些第一光阻為蝕刻遮罩進行第三蝕刻製程,將該些字元線圖案與該些選擇閘圖案轉移到該芯層。
  3. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,更包含在形成該些第一串列圖案之後與形成該間隔層之前進行一修整製程,減少該些第一串列圖案在該第二方向上的寬度。
  4. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,更包含在移除該些溝槽外的該填充層之後與該第一蝕刻製程之前進行一回蝕刻製程,移除該些第一串列圖案的頂面水平以上的該間隔層與該些第二串列圖案,如此裸露出該些第一串列圖案並使該些第一串列圖案、該些第二串列圖案以及該間隔層的頂面齊平。
  5. 如申請專利範圍第4項所述之製作選擇閘與字元線的雙重圖案方法,其中該些第一串列圖案上具有一硬遮罩層,該回蝕刻製程進行至移除該些硬遮罩層後停止。
  6. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,更包含在移除該些溝槽外的該填充層之後與進行該第一蝕刻製程之前進行下列步驟: 在該間隔層與該些第二串列圖案上形成第二光阻,該第二光阻在該第一方向上與下方的該些第一串列圖案對齊且在該第二方向上不會延伸超出各組該些第一串列圖案最外側的兩個該選擇閘圖案;以及 以該第二光阻為蝕刻遮罩進行第三蝕刻製程移除裸露的該填充層,如此該些溝槽中剩餘的該填充層形成第二串列圖案。
  7. 如申請專利範圍第6項所述之製作選擇閘與字元線的雙重圖案方法,其中該第二光阻同時界定有週邊區域上的閘極圖案,該第三蝕刻製程同時在該週邊區域上的該填充層中形成該閘極圖案。
  8. 如申請專利範圍第6項所述之製作選擇閘與字元線的雙重圖案方法,其中該第二光阻更裸露出該些第二串列圖案在該第一方向上超出該些第一串列圖案的相連部分,第三蝕刻製程該移除該些相連部分,如此形成獨立的該些第二串列圖案,其中該些獨立的第二串列圖案與部分的該些第一串列圖案交互排列成該些字元線圖案。
  9. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,其中該些字元線圖案在該第二方向上的寬度為第一寬度,該些第一串列圖案中的該些字元線圖案在該第二方向上的間距為三倍的該第一寬度,該共形的間隔層的厚度為該第一寬度,該些溝槽在該第二方向上的寬度為該第一寬度。
  10. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,其中該些字元線圖案在該第二方向上的寬度為第一寬度,該些選擇閘圖案在該第二方向上的寬度為三倍至五倍的該第一寬度,部分的該些選擇閘圖案與相鄰的該字元線圖案在該第二方向上的間距為該第一寬度,部分的該選擇閘圖案與相鄰的該字元線圖案在該第二方向上的間距大於該第一寬度但小於兩倍的該第一寬度。
  11. 如申請專利範圍第10項所述之製作選擇閘與字元線的雙重圖案方法,其中該間隔層在間距大於該第一寬度但小於兩倍的該第一寬度的該選擇閘圖案與相鄰的該字元線圖案之間會合併而不形成該溝槽。
  12. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,其中該些字元線圖案在該第二方向上的寬度為第一寬度,該些選擇閘圖案在該第二方向上的寬度並非該第一寬度的單數整數倍。
  13. 如申請專利範圍第1項所述之製作選擇閘與字元線的雙重圖案方法,其中更包含一硬遮罩層介於該目標層與該些目標圖案之間,該第二蝕刻製程先將該些目標圖案轉移到該硬遮罩層,再以該硬遮罩層為遮罩異向性地移除裸露的該目標層,如此形成字元線與選擇閘。
TW111133712A 2022-09-06 2022-09-06 製作選擇閘與字元線的雙重圖案方法 TWI822307B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW111133712A TWI822307B (zh) 2022-09-06 2022-09-06 製作選擇閘與字元線的雙重圖案方法
CN202211149733.2A CN117715425A (zh) 2022-09-06 2022-09-21 制作选择栅与字线的双重图案方法
US18/139,347 US20240081056A1 (en) 2022-09-06 2023-04-25 Double patterning method of manufacturing select gates and word lines

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111133712A TWI822307B (zh) 2022-09-06 2022-09-06 製作選擇閘與字元線的雙重圖案方法

Publications (2)

Publication Number Publication Date
TWI822307B true TWI822307B (zh) 2023-11-11
TW202412176A TW202412176A (zh) 2024-03-16

Family

ID=89722493

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111133712A TWI822307B (zh) 2022-09-06 2022-09-06 製作選擇閘與字元線的雙重圖案方法

Country Status (3)

Country Link
US (1) US20240081056A1 (zh)
CN (1) CN117715425A (zh)
TW (1) TWI822307B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130256827A1 (en) * 2006-09-14 2013-10-03 Micron Technology, Inc. Efficient pitch multiplication process
US20210351036A1 (en) * 2020-05-05 2021-11-11 Powerchip Semiconductor Manufacturing Corporation Semiconductor patterning process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130256827A1 (en) * 2006-09-14 2013-10-03 Micron Technology, Inc. Efficient pitch multiplication process
US20210351036A1 (en) * 2020-05-05 2021-11-11 Powerchip Semiconductor Manufacturing Corporation Semiconductor patterning process

Also Published As

Publication number Publication date
US20240081056A1 (en) 2024-03-07
CN117715425A (zh) 2024-03-15
TW202412176A (zh) 2024-03-16

Similar Documents

Publication Publication Date Title
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US11521857B2 (en) Cut first self-aligned litho-etch patterning
KR102603019B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
KR102332866B1 (ko) 반도체 디바이스의 패터닝 방법 및 그 결과의 구조물
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
Bencher et al. 22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)
TWI488238B (zh) 一種半導體線路製程
US9536778B2 (en) Self-aligned double patterning process for metal routing
KR101031465B1 (ko) 반도체 소자의 미세 콘택홀 형성 방법
TWI531032B (zh) 記憶體線路結構以及其半導體線路製程
TWI517247B (zh) 一種半導體線路結構暨其製程
TWI633583B (zh) 形成記憶體fin圖案的方法與系統
CN109075121B (zh) 用于半导体结构的后端线金属化层及其制造方法
KR20140041344A (ko) 패턴 형성 방법
CN110021518B (zh) 自对准双重图案方法
US10734284B2 (en) Method of self-aligned double patterning
KR20190073585A (ko) 분해능이하 기판 패터닝 방법
TWI822307B (zh) 製作選擇閘與字元線的雙重圖案方法
CN113589638B (zh) 掩膜版版图和半导体结构
KR20030073875A (ko) 반도체소자의 소자분리패턴 형성방법
CN118588553A (zh) 后段金属截断工艺方法
KR20100129544A (ko) 네가티브 스페이서 패터닝 공정을 위한 패턴 형성 방법
KR20010059981A (ko) 반도체소자의 제조방법
KR20120039902A (ko) 반도체 소자의 제조 방법
KR20110001718A (ko) 반도체 소자의 형성 방법