KR20190073585A - 분해능이하 기판 패터닝 방법 - Google Patents

분해능이하 기판 패터닝 방법 Download PDF

Info

Publication number
KR20190073585A
KR20190073585A KR1020197017076A KR20197017076A KR20190073585A KR 20190073585 A KR20190073585 A KR 20190073585A KR 1020197017076 A KR1020197017076 A KR 1020197017076A KR 20197017076 A KR20197017076 A KR 20197017076A KR 20190073585 A KR20190073585 A KR 20190073585A
Authority
KR
South Korea
Prior art keywords
line
layer
line layer
etch mask
substrate
Prior art date
Application number
KR1020197017076A
Other languages
English (en)
Other versions
KR102230086B1 (ko
Inventor
니하르 모한티
제프리 스미스
안톤 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190073585A publication Critical patent/KR20190073585A/ko
Application granted granted Critical
Publication of KR102230086B1 publication Critical patent/KR102230086B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 명세서에 개시된 기법은, 비균일 피치(혼합 피치)의 라인을 초래하는 기판 패터닝을 위한 방법을 제공한다. 기법은, 다중라인층(multi-line layer) 내의 물질 라인을 선택적으로 대체함으로써 진보된 패터닝 선택사항을 가능하게 할 수도 있다. 3개의 상이한 물질의 교호 라인을 갖는 다중라인층이 형성된다. 다른 언커버된 라인을 제거하지 않으면서 적어도 하나의 언커버된 라인을 선택적으로 제거하기 위해 하나 이상의 에칭 마스크가 사용된다. 제거된 물질은 충전 물질로 대체된다. 에칭 마스크, 및 상이한 물질 라인의 상이한 에칭 내성을 사용해 선택적 제거가 실행된다.

Description

분해능이하 기판 패터닝 방법
[관련 출원에 대한 상호-참조]
본 출원은, 2016년 11월 16일에 출원되었고, 발명의 명칭이 "Method of Patterning for Back End of Line Trench(백 엔드 오브 라인 트렌치를 위한 패터닝 방법)"인, 미국 가특허 출원 제62/422,840호의 이익을 청구하며, 이 가특허 출원은 그 전체가 본 명세서에 참조로서 통합된다.
본 개시는 기판 처리에 관한 것이며, 더 구체적으로는, 반도체 웨이퍼의 패터닝을 비롯한 기판을 패터닝하기 위한 기법에 관한 것이다.
지금까지 리소그래피 공정(lithographic process)에서 라인 폭을 축소시키는 방법은 더 큰 개구수(NA, numerical aperture)의 광학계, 더 짧은 노광 파장, 또는 공기 이외의 계면 매체(예컨대, 수침(water immersion))를 사용하는 것이 수반되어 왔다. 종래 리소그래피 공정의 분해능이 이론적 한계에 접근함에 따라서, 광학적 제한사항을 극복하기 위해 제조자들은 이중 패터닝(DP, double-patterning) 방법에 의지하기 시작했다.
(포토리소그래피(photolithography)와 같은) 물질 처리 방법론에서, 패터닝된 층을 생성하는 것은, 포토레지스트(photoresist)와 같은, 복사선 감광성 물질의 얇은 층을 기판의 상부 표면에 도포하는 것을 포함한다. 이러한 복사선 감광성 물질은 릴리프 패턴(relief pattern)으로 변환되고, 이는 기판 상의 하부층에 패턴을 전사하기 위한 에칭 마스크로서 사용될 수 있다. 복사선 감광성 물질의 패터닝은, 예컨대, 포토리소그래피 시스템을 사용하여, 레티클(및 연관된 광학계)을 통과해 복사선 감광성 물질 상에 도달하는 화학 복사선(actinic radiation)에 노광시키는 것이 일반적으로 수반된다. 이러한 노광 후에는, 현상 용제를 사용하여 (포지티브 포토레지스트의 경우에서와 같이) 복사선 감광성 물질의 조사된 영역(irradiated region), 또는 (네거티브 레지스트의 경우에서와 같이) 조사되지 않은 영역을 제거하는 것이 뒤따를 수 있다. 이러한 마스크는 다수의 하위층을 포함할 수 있다.
방사선 또는 광의 패턴을 기판 상에 노광하기 위한 종래의 리소그래피 기법은, 노광되는 피처의 크기를 제한하고, 노광되는 피처(feature) 사이의 피치(pitch) 또는 간격을 제한하는 다양한 과제를 가지고 있다. 노광 제한사항을 완화하기 위한 한 종래의 기법은, 현재 종래의 리소그래피 기법을 사용할 때 가능한 것보다 더 작은 피치로 더 작은 피처를 패터닝하도록 하기 위해 이중 패터닝 접근법을 사용하는 기법이다.
반도체 기술은, 14nm, 7nm, 5nm, 및 그 미만의 피처 크기를 비롯하여 더 작은 피처 크기 또는 노드로 계속하여 진행하고 있다. 다양한 요소가 제조되는 피처의 크기의 이러한 계속적인 축소는, 피처를 형성하기 위해 사용되는 기법에 가해지는 요구를 점점 증가시키고 있다. 이들 피처의 사이징(sizing)을 설명하기 위해 "피치(pitch)"라는 개념이 사용될 수 있다. 피치는, 2개의 인접한 반복적 피처 내의 2개의 동일 지점 사이의 거리이다. 그러면, 하프 피치(half-pitch)는, 인접한 피처들 중 동일 피처들 사이의 거리의 절반이다.
피치 축소 기법은, "피치 2배화(pitch doubling)" 등에 의해 예시되는 바와 같이, (종종 다소 잘못되게 하지만 일상적으로) "피치 증배(pitch multiplication)"라 일컬어진다. 피치 축소 기법은, 피처 크기 제한사항(광학적 분해능 제한사항)을 넘어 포토리소그래피의 능력을 연장시킬 수 있다. 즉, 종래의 특정한 배수만큼의 피치의 증배(더 정확히는, 피치 축소, 또는 피치 밀도의 증배)는, 지정된 배수만큼 타겟 피치를 축소시키는 것이 수반된다. 193nm 수침 리소그래피와 함께 사용되는 이중 패터닝 기법은 22nm 이하의 노드를 패터닝하기 위한 가장 유망한 기법 중 하나로서 일반적으로 간주된다. 자기 정렬 스페이서 이중 패터닝(SADP, self-aligned spacer double patterning)은 이미 피치 밀도 2배화 공정으로서 확고해졌으며 NAND 플래시 메모리 디바이스의 대량 제조에 채용되었다는 점을 언급할 만하다. 또한, 피치 4배화로서 SADP 단계를 2회 반복하기 위해 초미세 분해능이 획득될 수 있다.
패턴 밀도 또는 피치 밀도를 높이기 위한 여러 패터닝 기법이 존재하지만, 종래의 패터닝 기법은, 에칭된 피처의 거친 표면 또는 불량한 분해능으로부터 발생하는 문제를 겪는다. 따라서, 종래의 기법은, 매우 작은 치수(20nm 이하)에 대해 요구되는 균일성 및 충실도의 수준을 제공할 수 없다. 신뢰할 수 있는 리소그래피 기법은, 약 80nm의 피치를 갖는 피처를 생성할 수 있다. 그러나, 종래 및 최근의 설계 명세서는, 약 20nm 또는 10nm보다 작은 임계 치수를 갖는 피처를 제조하도록 요구한다. 또한, 피치 밀도 2배화 및 4배화 기법을 사용하면, 분해능이하 라인(sub-resolution line)이 생성될 수 있지만, 이들 라인 사이에 커팅부 및 연결부를 만드는 것은, 특히 그러한 커팅부를 위해 필요한 피치 및 치수가 종래의 포토리소그래피 시스템의 능력보다 훨씬 작으므로, 어렵다.
본 명세서에 개시된 기법은, 비균일 피치(혼합 피치)의 라인을 초래하는 기판 패터닝을 위한 방법을 제공한다. 본 명세서의 기법은, 다중라인층(multi-line layer) 내의 물질 라인을 선택적으로 대체함으로써 진보된 패터닝 선택사항을 가능하게 할 수도 있다.
한 실시예는, 기판을 패터닝하는 방법을 포함한다. 기판의 하부층 상에 다중라인층이 형성된다. 다중라인층은, 제1 물질, 제2 물질, 및 제3 물질의 교호 라인 패턴을 갖는 영역을 포함한다. 각 라인은 수평 두께 및 수직 높이를 갖고, 하부층을 가로질러 수평으로 연장된다. 교호 라인 패턴의 각 라인은 다중라인층의 상부(top) 표면으로부터 다중라인층의 하부(bottom) 표면까지 수직으로 연장된다. 교호 라인 패턴의 일부를 언커버(uncover)하는 제1 에칭 마스크가 다중라인층 상에 형성된다. 제1 에칭 마스크에 의해 언커버된 다중라인층의 제1 물질의 부분이 제거된다. 제1 물질의 제거된 부분은, 다중라인층의 상부 표면으로부터 다중라인층의 하부 표면까지 수직으로 연장되는 충전 물질로 대체된다. 제1 에칭 마스크가 제거되어, 다중라인층이 4개의 상이한 물질을 갖게 된다. 이 공정은, 다중라인층 내의 동일한 또는 상이한 라인의 다른 부분을 대체하기 위해 반복될 수 있다.
물론, 본 명세서에서 설명되는 바와 같은 상이한 단계의 논의의 순서는 명료성을 위해 제시된 것이다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 또한, 본 명세서의 상이한 피처, 기법, 구성 등의 각각이 본 개시의 상이한 곳에서 논의될 수 있지만, 이러한 개념 각각이 서로에 대해 독립적으로 또는 서로와 조합되어 실행될 수 있도록 의도된다. 따라서, 본 발명은 여러 상이한 방식으로 실시 및 고려될 수 있다.
본 발명의 내용 섹션은, 본 개시 또는 청구되는 발명의 모든 실시예 및/또는 증분적으로 신규한 양상을 상술하지 않는다는 점에 유의해야 한다. 그 대신, 본 발명의 내용은, 상이한 실시예들에 대한 예비적 논의, 및 종래 기법 대비 대응 신규성 항목을 제공하기만 한다. 본 발명 및 실시예의 추가적인 세부사항 및/또는 가능한 관점을 위해, 독자는, 아래에서 더 논의되는 바와 같은 본 개시의 발명을 실시하기 위한 구체적인 내용 섹션 및 대응 도면으로 안내된다.
첨부 도면과 함께 살펴보아야 하는 다음의 상세한 설명을 참조하면, 본 발명의 다양한 실시예 및 그 수반되는 장점 중 다수의 더 완전한 이해가 수월하게 분명해질 것이다. 도면이 필연적으로 비례에 맞는 것은 아니며, 그 대신 특징, 원칙, 및 개념의 예시에 주안점이 두어진다.
도 1a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 1b는 평면도이다.
도 2a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 2b는 평면도이다.
도 3a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 3b는 평면도이다.
도 4a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 4b는 평면도이다.
도 5a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 5b는 평면도이다.
도 6a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 6b는 평면도이다.
도 7a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 7b는 평면도이다.
도 8a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 8b는 평면도이다.
도 9a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 9b는 평면도이다.
도 10a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 10b는 평면도이다.
도 11a는, 본 명세서에 개시된 실시예에 따른 예시 기판 세그먼트의 단면 측면도이고, 도 11b는 평면도이다.
본 명세서에 개시된 기법은, 때로는 혼합 피치로서 지칭되는, 비균일 피치의 라인을 초래하는 기판 패터닝을 위한 방법을 제공한다. 본 명세서의 기법은, 다중라인층 내의 물질 라인을 선택적으로 대체함으로써 진보된 패터닝 선택사항을 가능하게 할 수도 있다. 3개의 상이한 물질의 교호 라인을 갖는 다중라인층이 형성된다. 다른 언커버된 라인을 제거하지 않으면서 적어도 하나의 언커버된 라인을 선택적으로 제거하기 위해 하나 이상의 에칭 마스크가 사용된다. 제거된 물질은 충전 물질로 대체된다. 에칭 마스크, 및 상이한 물질 라인의 상이한 에칭 내성을 사용해 선택적 제거가 실행된다.
한 실시예는, 기판을 패터닝하는 방법을 포함한다. 방법은, 기판의 하부층 상에(위에) 다중라인층을 형성하는 단계를 포함한다. 도 1a 및 도 1b는 기판(105) 상의 한 예시 다중라인층(150)을 도시한다. 다중라인층은 하부층(107)의 바로 위에 직접 형성될 수 있거나, 임의의 개재층 또는 계면 필름 또는 평탄화층 상에, 예를 들어 반사 방지 코팅(ARC, anti-reflective coating)층 상에, 형성될 수 있다. 다중라인층은, 제1 물질, 제2 물질, 및 제3 물질의 교호 라인 패턴을 갖는 영역을 포함한다. 각 라인은 수평 두께 및 수직 높이를 갖고, 하부층을 가로질러 수평으로 연장된다. 교호 라인 패턴의 각 라인은 다중라인층의 상부 표면으로부터 다중라인층(150)의 하부 표면까지 수직으로 연장된다. 즉, 라인은, 기판의 작업 표면을 가로질러 수평 방향으로 교호되고, 라인의 완전한 에칭 제거를 위해 상부 표면으로부터 하부 표면까지 접근가능하다.
일부 실시예에서, 교호 라인은 본질적으로 기판의 전체 표면을 커버(cover)할 수 있지만, 다른 대안적 실시예에서는, 특정 영역만 교호 라인 패턴을 갖는다. 교호 라인은 직선, 곡선, 경주 트랙 경로선 등을 포함할 수 있다는 점에 유의해야 한다. 교호 라인의 또 다른 예시는, 각 고리가 곡선인 동심원 세트이다. 즉, 각 특정 물질 라인이 다중라인층의 하부 표면까지 이방성으로 에칭(제거)될 수 있고, 이에 의해 하부층들을 언커버하며, 그 이유는, 수직적인 물질 스택과는 다르게, 물질 라인은 기판 표면을 가로질러 수평으로 교호하기 때문이다. 3개의 물질 중 적어도 2개는 하나 이상의 특정 에천트에 대해 서로 상이한 에칭 내성을 가짐으로써 서로 화학적으로 상이하다.
본 명세서에서 사용되는 바와 같이, 서로 상이한 에칭 내성을 갖는다는 것은, 주어진 하나의 물질을 다른 물질(들)보다 더 큰 속도로 에칭하는 적어도 하나의 에천트(또는 에천트 조합)가 존재한다는 것을 의미한다. 2개 이상의 주어진 물질을 동일한 속도로 에칭하는 특정한 에천트가 존재할 수 있지만, 다른 물질들에 대해 상대적으로 하나의 포함된 물질을 더 빠르게 에칭하는 적어도 하나의 에천트가 존재한다는 점에 유의해야 한다. 또 다른 물질에 대해 상대적으로 하나의 물질을 에칭하는 것은, 다른 물질을 실질적으로 에칭하지 않으면서 하나의 물질을 에칭하는 것을 포함하거나, 다른 물질에 비해 상당히 더 큰 속도로 하나의 물질을 에칭하는 것을 포함할 수 있으며, 예를 들어 3:1, 4:1, 10:1 등의 에칭 속도 비율을 갖는다. 2개의 물질이, 상이한 에칭 내성을 가지려면, 이는 일반적으로, 예를 들어 포함된 특정한 원소에 의해 또는 원소의 배열에 의해, 2개의 물질이 서로 화학적으로 상이하다는 것을 의미한다. 2개의 물질 중 하나가 도펀트를 포함한다는 점을 제외하면 대체로 동일한 2개의 물질은, 그럼에도 불구하고 상이한 에칭 내성을 가질 수 있다. 또한, 동일한 원소를 갖지만 상이한 분자 또는 결정 구조를 갖는 물질도 에칭 내성 차이를 제공할 수 있다.
도 1a 및 도 1b는, 특정 다중라인층을 형성하는 단계의 예시 결과를 도시한다. 제1 물질, 제2 물질, 및 제3 물질은 라인 "A", 라인 "B", 및 라인 "C"에 대응할 수 있다. 괄호(151)는 교호 라인의 특정 패턴 세그먼트를 나타낸다는 점에 유의해야 한다. 이 패턴은 A-B-C-B의 시퀀스를 따르며, 이후 이 시퀀스가 반복된다. 따라서, 이 패턴은 A-B-C-B-A-B-C-B-A-B-C-B-A 등의 시퀀스로 계속될 수 있다. 다른 실시예에서는, A-B-A-B의 교호 패턴이 사용되며, 이후 2개의 물질 중 하나가 제3 물질로 선택적으로 대체된다. 이 특정한 다중라인층 내에서, 물질 A의 양측에 물질 라인 B를 가짐으로써, 물질 A는, 물질 C와 접촉하는 것으로부터 격리될 수 있다는 점에 유의해야 한다. 다른 실시예에서, 물질 C가 일부 영역에서 부재할 수 있거나 다른 영역에서 더 클 수 있도록, 주어진 물질의 하프 피치가 달라질 수 있다. 먼저 맨드릴(mandrel)을 형성함으로써 다중라인층(150)이 형성될 수 있으며, 맨드릴은 물질 A일 수 있다. 맨드릴을 사용하여 측벽 스페이서가 물질 B로서 형성된다. 측벽 스페이서는 물질 B의 컨포멀한 퇴적에 의해 형성될 수 있으며, 맨드릴의 측벽 상에만 퇴적물을 남기기 위한 스페이서 에칭백(etch back)이 뒤따른다. 물질 C 또는 제3 물질은 스핀온 물질 또는 과도하게 코팅된 물질로서 퇴적된 후, 에칭백, 산 확산(acid diffusion), 및 현상(development)에 의해 맨드릴의 상부 표면까지 리세싱될 수 있거나, 과잉 물질을 제거하기 위해 다르게 평탄화될 수 있다.
여러 상이한 물질이 사용될 수 있다는 점에 유의해야 한다. 비제한적인 예시로서, 하부층(107)은 실리콘 질화물일 수 있다. 이는, 예를 들어, 금속 하드 마스크와 같은, 또 다른 하부층으로의 전사를 돕기 위한, 기억층(memorization layer), 타겟층, 또는 임시층일 수 있다. 맨드릴 A는, 비정질 실리콘과 같은 실리콘 물질일 수 있다. 스페이서 B는 실리콘 산화물일 수 있다. 물질 C는, 티타늄 산화물(TiOx)과 같은 금속 산화물일 수 있다. 종래에 공지된 바와 같이, 나머지 물질을 에칭하지 않으면서 하나 이상의 물질을 선택적으로 에칭할 수 있도록 하기 위한 상이한 에칭 내성을 제공하는 다양한 다른 물질 조합이 사용될 수 있다.
이제 도 2a 및 도 2b를 참조하면, 에칭 마스크(141)와 같은 제1 에칭 마스크가 다중라인층 상에 형성된다. 제1 에칭 마스크는 교호 라인 패턴의 일부를 언커버한다. 간략화를 위해, 에칭 마스크(141)는 다중라인층(150)의 바로 위에 있는 것으로 도시되었다. 그러나, 포토리소그래피 패터닝을 위해, 유기 평탄화층, 반사 방지 코팅과 같은 추가적인 층이 사용될 수 있으며, 그렇다면 에칭 마스크(141)는 포토레지스트로 구성될 수 있다는 점에 유의해야 한다. 이후, 제1 에칭 마스크에 의해 언커버된 다중라인층의 제1 물질의 부분이 제거된다. 그러한 제거는 이방성 에칭을 통해 실행될 수 있다. 도 2a 및 도 2b는, 에칭 마스크(141)를 가지며 물질 A가 제거된 상태, 즉, 물질 A의 언커버된 부분(마스킹되지 않은 부분)이 제거된 상태의 기판(105)을 도시한다.
제1 물질의 제거된 부분은 이후, 다중라인층의 상부 표면으로부터 다중라인층의 하부 표면까지 수직으로 연장되는 충전 물질로 대체된다. 그러한 제거 및 대체는 2개 이상의 공정 단계를 가질 수 있다. 일부 실시예에서, 다중라인층의 다른 물질 상에 퇴적되지 않으면서 하부층(107)의 언커버된 부분 상에 선택적으로 퇴적시키는 선택적 퇴적이 실행될 수 있다. 다른 실시예에서, 예를 들어 스핀온 퇴적에 의해, 기판(105) 상에 물질(161)이 퇴적된다. 그러한 스핀온 퇴적은 일반적으로 물질의 과도한 코팅 또는 과도한 적재를 초래한다. 도 3a 및 도 3b는, 물질(161)이, 제1 물질의 제거된 부분을 충전할 뿐만 아니라 에칭 마스크(141)를 커버하기도 하는 것을 도시한다.
이후 제1 에칭 마스크가 제거되어, 다중라인층이 4개의 상이한 물질을 갖게 된다. 한 예시 결과가 도 4a 및 도 4b에 도시되어 있다. 그러한 제거는 에칭백이나 화학적-기계적 연마 또는 다른 평탄화 기법에 의해 실행될 수 있다. 다중라인층(150)은 이제 4개의 상이한 물질을 가질 수 있다는 점에 유의해야 한다. 충전 물질은, 다중라인층 내의 다른 라인과는 상이한 물질일 수 있거나, 다른 라인 중 하나와 동일한 물질, 예를 들어 라인 B와 동일한 물질일 수 있다는 점에 유의해야 한다. 물질의 선택은 특정 패터닝 흐름의 설계 목적에 기초할 수 있다.
이제 도 5a 및 도 5b를 참조하면, 에칭 마스크(142)와 같은 제2 에칭 마스크가 다중라인층(150) 상에 형성되어, 교호 라인 패턴의 제2 부분을 언커버한다. 이후, 제2 에칭 마스크에 의해 언커버된 다중라인층의 제3 물질의 부분이 제거된다. 도 5a 및 도 5b는 물질 C의 일부가 제거된 것을 도시한다. 마스크 형성 및 물질 제거는, 전술한 바와 같이 실행될 수 있다.
제3 물질의 제거된 부분은 이후, 다중라인층의 상부 표면으로부터 다중라인층의 하부 표면까지 수직으로 연장되는 충전 물질로 대체된다. 그러한 제거 및 대체는, 전술한 바와 같이 실행될 수 있다. 예컨대, 물질(162)은 기판(105) 상에 과도하게 코팅된 후, 다중라인층(150)의 상부 표면까지 평탄화되거나 다른 방식으로 리세싱될 수 있다. 도 6a 및 도 6b는, 물질(162)이, 제3 물질의 제거된 부분을 충전할 뿐만 아니라 에칭 마스크(142)를 커버하기도 하는 것을 도시한다.
이후 제2 에칭 마스크가 제거되어, 다중라인층이 4개 이상의 상이한 물질을 갖게 된다. 한 예시 결과가 도 7a 및 도 7b에 도시되어 있다. 그러한 제거는 에칭백이나 화학적-기계적 연마 또는 다른 평탄화 기법에 의해 실행될 수 있다. 다중라인층(150)은 이제 4개의 상이한 물질을 가질 수 있다는 점에 유의해야 한다. 충전 물질은, 다중라인층 내의 다른 라인과는 상이한 물질이거나, 다른 라인 중 하나와 동일한 물질, 예를 들어 라인 B와 동일한 물질일 수 있다는 점에 유의해야 한다. 요구된다면, 물질(161 및 162)은 동일할 수 있다.
이러한 물질 라인 또는 물질 라인의 부분의 위치 특유 제거 및 대체 공정은 반복될 수 있다. 따라서, 본 명세서의 기법은, 임의의 자기 정렬 블록 기법과 함께 사용하기 위해 커스터마이징된 다중라인층을 제공한다. 다중라인층 내부로의 새로운 물질의 위치 특유 주입 또는 커스텀 주입은, 진보된 패터닝 이익을 제공할 수 있다. 균일 피치의 라인은 종래의 포토리소그래피 기술을 사용해 분해능에 맞게 인쇄하기가 더 쉽다. 그러나, 많은 전자 설계에 대해 혼합 피치 또는 비균일 피치가 요구된다. 본 명세서의 기법을 사용하면, 먼저 균일 피치의 교호 라인을 형성함으로써, 그리고 뒤이어 다중라인층의 특정 라인 또는 라인 세그먼트의 위치 특유 제거 및 대체에 의해, 혼합 피치 패턴이 생성될 수 있다. 라인의 선택적 제거 및 상이한 물질로의 대체에 의해 초기의 다중라인층이 수정된 후, 하부층으로의 전사를 위한 에칭 마스크로서 다중라인층 자체가 사용될 수 있거나, 수정된 다중라인층 중 선택된 영역을 에칭하기 위해, 수정된 다중라인층 상에/위에 추가적인 에칭 마스크가 형성될 수 있다.
도 8a 및 도 8b는 제1 물질 라인 및 제3 물질 라인의 제거 후의 수정된 다중라인층을 도시한다. 이후, 기판 상에 잔존하는 것은 제2 물질 라인 및 대체 물질이다. 이후, 다중라인층의 잔존 물질은, 도 9a 및 도 9b에 도시된 바와 같이, 하부층(107)으로의 전사를 위해 사용될 수 있다. 도 10a 및 도 10b는 다중라인층이 제거된 것을 도시한다. 그 결과는, 제2 물질 라인의 패턴 및 추가 플러그이다. 혼합 피치 라인의 영역을 생성하기 위해 라인 전체 또는 라인의 세그먼트가 대체될 수 있다는 점에 유의해야 한다. 예컨대, 일부 영역에서 라인 A의 전부가 제거되고 물질 B로 대체되어, 잔존 물질 라인 A의 혼합 피치를 초래한다. 제거 및 대체 단계는 임의의 횟수로 실행될 수 있다는 점에도 유의해야 한다. 도 11a 및 도 11b는, 충전부(163)를 포함하는 더 큰 차단된 영역을 생성하기 위한 3개의 대체 단계를 갖는 다중라인층을 도시한다.
따라서, 다른 실시예에서, 교호 라인 패턴의 제3 부분을 언커버하는 제3 에칭 마스크가 다중라인층 상에 형성될 수 있다. 제3 에칭 마스크에 의해 언커버된 다중라인층의 제1 물질(또는 제2 물질이나 제3 물질)의 부분이 제거된다. 제거된 부분은 이후 대체될 수 있다. 따라서, 에칭 마스크와 물질 라인의 임의의 조합이 선택적으로 제거되고 대체될 수 있다. 또한, 수정된 다중라인층을 형성한 후, 하나 이상의 하부층으로의 조합된 전사를 위해 임의의 수의 추가적인 에칭 마스크가 그 위에 형성될 수 있다. 예컨대, 수정된 다중라인층이 형성된 후, 수정된 다중라인층의 부분을 언커버하는 에칭 마스크가 그 위에 형성될 수 있다. 이후, 수정된 다중라인층 내의 하나 이상의 물질이 선택적으로 제거될 수 있다. 이후, 수정된 다중라인층 상의 에칭 마스크는, (하나 이상의 물질이 제거된) 수정된 다중라인층 자체와 함께, 조합된 에칭 마스크를 형성한다. 이후, 이러한 조합된 에칭 마스크는, 기억층, 타겟층, 하드 마스크 등과 같은 하부층으로의 전사를 위해 사용될 수 있다.
이해될 수 있는 바와 같이, 본 명세서의 실시예에 대하여, 예를 들어 프론트 엔드 오브 라인(FEOL, front-end-of-line), 백 엔드 오브 라인(BEOL, back-end-of-line), 메모리 어레이, 로직, 3차원 패터닝 등을 사용하는, 여러 상이한 패터닝 응용예가 존재한다. 예컨대, 반도체 제조의 부분으로서, 다양한 릴리프 패턴은 조정을 필요로한다. 응용예에 따라서 이들 조정은 필라(pillar) 마스크(들) 또는 컷/홀(cut/hole) 마스크(들)를 사용해 이루어질 수 있다. 릴리프 패턴의 상대적으로 작은 부분이 하나 이상의 하부층으로 에칭 전사되는 것을 차단하기 위해, 블록 마스크는 일반적으로 기판 상에 상대적으로 작은 아일랜드(island), 메사(mesa), 또는 필라를 남긴다. 반면, 예를 들어 라인의 세그먼트를 제거하거나 해당 라인 내에 커팅부를 만들거나 비아 또는 콘택트 개구를 만들기 위해, 위치-정밀 에칭이 이루어지도록 하기 위하여, 컷 또는 홀 마스크는 일반적으로 기판 표면의 대부분을 커버하고 특정 위치에 상대적으로 작은 개구를 갖는다.
블록 마스크 또는 필라 마스크를 사용할 때의 한 가지 과제는, 패턴 붕괴의 과제이다. 스케일링이 증가함에 따라서, 패턴 전사를 위해 차단되어야 하는 패턴의 부분의 치수가 점점 더 작아진다. 이는, 예컨대, 금속 배선 등을 위한, 좁은 피치의 백 엔드 오브 라인(BEOL) 트렌치 패터닝을 위한 블록을 만드는 것을 어렵게 한다. 그러나, 본 명세서의 기법은, 처리하기 어려운 오버레이 요건이 에칭 선택도 과제에 대해 절충되도록, 커스터마이징된 자기 정렬 블록 마스크를 사용한다. 초기에 필라 마스크를 사용하는 대신, 톤 반전 패터닝 기법(tone reversal patterning technique)으로서 물질 라인 내에서 자기 정렬 블록 마스크(다중라인층)가 선택적으로 수정된다.
블록 패터닝 시의 필라 마스크의 임계 치수(CD, critical dimension)는 하프 피치의 약 1.5배로 축소되고 있다. 30nm 미만의 피치에서, 이는 필라에 대해 22.5nm 미만의 CD를 초래한다. 그러한 작은 필라는 붕괴 또는 플롭오버(flop-over)에 대한 상당한 잠재성을 가지며, 이는 디바이스 결함을 초래할 것이다. 본 명세서의 기법은, 다른 패터닝 물질에 대해 상이한 에칭 내성을 갖는 스핀온 금속 산화물(MeOx, metal oxide) 또는 또 다른 물질을 사용하는 자기 정렬 블록 공정을 사용한다. 주어진 필라 마스크는 따라서 홀 마스크로 대체되며, 홀 마스크는 구조적으로 더 우수하고, 본질적으로 더 높은 플롭오버 및 인쇄가능성 여유를 제공한다. 또한, 필라 마스크 축소를 위한 트림 기법과 비교하면, 홀 마스크를 위한 에칭 축소에 의해 CD 제어가 쉽게 제어된다. 따라서, 본 명세서의 기법은 제4의 색상 물질 및 톤 반전 물질에 대해 2개의 스핀온 물질을 각각 사용할 수 있다. 한 예시 톤 반전 물질은 스핀온 유리(SOG, spin-on glass)이다.
전술한 설명에서는, 본 명세서에서 사용되는 다양한 컴포넌트 및 공정의 설명 및 처리 시스템의 특정한 지오메트리와 같은 구체적 세부사항이 설명되었다. 그러나, 본 명세서의 기법은, 이들 구체적 세부사항으로부터 벗어나는 다른 실시예에서 실시될 수도 있다는 점과, 그러한 세부사항은 설명의 목적을 위한 것이며 제한을 위한 것이 아니라는 점이 이해되어야 한다. 본 명세서에 개시된 실시예는 첨부 도면을 참조하여 설명되었다. 마찬가지로, 설명의 목적으로, 구체적인 수, 물질, 및 구성이 본 발명의 완전한 이해를 제공하기 위해 설명되었다. 그럼에도 불구하고, 실시예들은 그러한 구체적 세부사항 없이도 실시될 수 있다. 실질적으로 동일한 기능적 구성을 갖는 컴포넌트는, 유사한 참조 문자에 의해 나타나 있으며, 따라서 임의의 중복된 설명은 생략될 수 있다.
다양한 실시예의 이해를 돕기 위해, 다양한 기법이 다수의 개별적 동작으로서 설명되었다. 설명의 순서는, 이들 동작이 필연적으로 순서에 의존한다는 것을 의미하는 것으로 해석되어서는 안 된다. 실제로, 이들 동작은 제시된 순서로 수행될 필요가 없다. 설명된 동작은, 설명된 실시예와는 상이한 순서로 수행될 수 있다. 다양한 추가 동작이 수행될 수 있고, 그리고/또는 설명된 동작은 추가 실시예에서 생략될 수 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 본 발명에 따라서 처리되는 객체를 일반적으로 지칭한다. 기판은, 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예컨대, 반도체 웨이퍼와 같은, 기저 기판 구조물, 레티클, 또는 박막과 같은 기저 기판 구조물 상의 층 또는 그러한 구조물의 상부층일 수 있다. 따라서, 기판은, 패터닝되거나 패터닝되지 않은 임의의 특정한 기저 구조물, 하부층, 또는 상부층으로 제한되지 않으며, 오히려, 임의의 그러한 층 또는 기저 구조물과, 층 및/또는 기저 구조물의 임의의 조합을 포함하는 것으로 고려된다. 본 설명은 특정한 유형의 기판을 언급할 수 있지만, 이는 오직 예시의 목적을 위한 것이다.
당업자는, 본 발명의 동일한 목적을 여전히 달성하면서, 위에서 설명한 기법의 동작에 대해 이루어지는 여러 변형이 존재할 수 있다는 것도 이해할 것이다. 그러한 변형은 본 개시의 범위에 의해 커버되도록 의도된다. 그러므로, 전술한 본 발명의 실시예의 설명은 제한하도록 의도되지 않는다. 오히려, 본 발명의 실시예에 대한 임의의 제한은 다음의 청구범위에 제시되어 있다.

Claims (17)

  1. 기판을 패터닝하는 방법에 있어서,
    기판의 하부층 상에 다중라인층(multi-line layer)을 형성하는 단계로서, 상기 다중라인층은, 제1 물질, 제2 물질, 및 제3 물질의 교호 라인 패턴을 갖는 영역을 포함하며, 각 라인은 수평 두께 및 수직 높이를 갖고, 상기 하부층을 가로질러 수평으로 연장되고, 상기 교호 라인 패턴의 각 라인은 상기 다중라인층의 상부(top) 표면으로부터 상기 다중라인층의 하부(bottom) 표면까지 수직으로 연장되는, 상기 다중라인층을 형성하는 단계;
    상기 교호 라인 패턴의 일부를 언커버(uncover)하는 제1 에칭 마스크를 상기 다중라인층 상에 형성하는 단계;
    상기 제1 에칭 마스크에 의해 언커버된 상기 다중라인층의 제1 물질의 부분을 제거하는 단계;
    상기 제1 물질의 제거된 부분을, 상기 다중라인층의 상부 표면으로부터 상기 다중라인층의 하부 표면까지 수직으로 연장되는 충전 물질로 대체하는 단계; 및
    상기 제1 에칭 마스크를 제거하여, 상기 다중라인층이 4개의 상이한 물질을 갖게 하는 단계
    를 포함하는, 기판을 패터닝하는 방법.
  2. 제1항에 있어서, 상기 제1 에칭 마스크에 의해 언커버된 상기 다중라인층의 제1 물질의 부분을 제거하는 단계는, 상기 다중라인층의 제2 물질 및 제3 물질의 언커버된 부분이 상기 기판 상에 잔존하는 동안에 언커버된 상기 다중라인층의 제1 물질을 에칭하는 제1 에칭 공정을 실행하는 단계를 포함하는, 기판을 패터닝하는 방법.
  3. 제1항에 있어서, 상기 제1 물질의 제거된 부분을 충전 물질로 대체하는 단계는,
    상기 기판 상에 상기 충전 물질을 퇴적시켜, 상기 충전 물질이 초기에 상기 제1 에칭 마스크 및 상기 다중라인층을 커버(cover)하도록 하는 단계; 및
    상기 기판을 평탄화하여, 상기 제1 에칭 마스크가 제거되고, 상기 다중라인층의 상부 표면 위에 있는 상기 충전 물질이 제거되도록 하는 단계를 포함하는, 기판을 패터닝하는 방법.
  4. 제1항에 있어서,
    상기 교호 라인 패턴의 제2 부분을 언커버하는 제2 에칭 마스크를 상기 다중라인층 상에 형성하는 단계;
    상기 제2 에칭 마스크에 의해 언커버된 상기 다중라인층의 제3 물질의 부분을 제거하는 단계; 및
    상기 제3 물질의 제거된 부분을, 상기 다중라인층의 상부 표면으로부터 상기 다중라인층의 하부 표면까지 수직으로 연장되는 상기 충전 물질로 대체하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  5. 제4항에 있어서,
    상기 교호 라인 패턴의 제3 부분을 언커버하는 제3 에칭 마스크를 상기 다중라인층 상에 형성하는 단계;
    상기 제3 에칭 마스크에 의해 언커버된 상기 다중라인층의 제1 물질의 부분을 제거하는 단계; 및
    상기 제1 물질의 제거된 부분을, 상기 다중라인층의 상부 표면으로부터 상기 다중라인층의 하부 표면까지 수직으로 연장되는 상기 충전 물질로 대체하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  6. 제4항에 있어서,
    상기 교호 라인 패턴의 제3 부분을 언커버하는 제3 에칭 마스크를 상기 다중라인층 상에 형성하는 단계;
    상기 제3 에칭 마스크에 의해 언커버된 상기 다중라인층의 제2 물질의 부분을 제거하는 단계; 및
    상기 제2 물질의 제거된 부분을, 상기 다중라인층의 상부 표면으로부터 상기 다중라인층의 하부 표면까지 수직으로 연장되는 상기 충전 물질로 대체하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  7. 제1항에 있어서, 상기 제1 물질, 상기 제2 물질, 및 상기 제3 물질은, 특정한 에천트에 대해 상이한 에칭 내성을 가짐으로써 서로 화학적으로 상이한, 기판을 패터닝하는 방법.
  8. 제1항에 있어서,
    상기 제1 에칭 마스크에 의해 언커버된 상기 다중라인층의 제3 물질의 부분을 제거하는 단계; 및
    상기 제3 물질의 제거된 부분을, 상기 다중라인층의 상부 표면으로부터 상기 다중라인층의 하부 표면까지 수직으로 연장되는 상기 충전 물질로 대체하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  9. 제1항에 있어서, 상기 제1 물질, 상기 제2 물질, 및 상기 제3 물질의 교호 라인 패턴은 A-B-C-B-A-B-C-B의 반복되는 시퀀스를 형성하며, 물질 A, 물질 B, 및 물질 C는 특정한 에천트에 대해 서로 상이한 에칭 내성을 갖는, 기판을 패터닝하는 방법.
  10. 제9항에 있어서, 상기 제2 물질은 측벽 스페이서로서 형성되는, 기판을 패터닝하는 방법.
  11. 제1항에 있어서,
    상기 제1 물질을 상기 다중라인층으로부터 제거하는 단계; 및
    상기 다중라인층의 잔존 물질에 의해 규정되는 패턴을 상기 하부층에 전사하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  12. 제11항에 있어서,
    상기 다중라인층 상에 제4 에칭 마스크를 형성하는 단계; 및
    상기 하부층의 에칭 시에, 상기 다중라인층의 잔존 물질 및 상기 제4 에칭 마스크를, 조합된 에칭 마스크로서 사용하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  13. 제1항에 있어서,
    상기 제1 물질을 상기 다중라인층으로부터 제거하는 단계;
    상기 제3 물질을 상기 다중라인층으로부터 제거하는 단계; 및
    상기 다중라인층의 잔존 물질에 의해 규정되는 패턴을 상기 하부층에 전사하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  14. 제13항에 있어서,
    상기 다중라인층 상에 제4 에칭 마스크를 형성하는 단계; 및
    상기 하부층의 에칭 시에, 상기 다중라인층의 잔존 물질 및 상기 제4 에칭 마스크를, 조합된 에칭 마스크로서 사용하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  15. 제1항에 있어서, 상기 다중라인층 내의 주어진 물질 라인의 피치(pitch)는 40나노미터 미만인, 기판을 패터닝하는 방법.
  16. 제1항에 있어서, 상기 다중라인층 내의 주어진 물질 라인의 하프 피치(half pitch)는 16나노미터 미만인, 기판을 패터닝하는 방법.
  17. 제1항에 있어서, 상기 다중라인층은, 비균일 피치를 갖는 라인을 규정하는 릴리프 패턴(relief pattern)을 초래하는, 기판을 패터닝하는 방법.
KR1020197017076A 2016-11-16 2017-11-16 분해능이하 기판 패터닝 방법 KR102230086B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662422840P 2016-11-16 2016-11-16
US62/422,840 2016-11-16
PCT/US2017/062053 WO2018094073A2 (en) 2016-11-16 2017-11-16 Methods of sub-resolution substrate patterning

Publications (2)

Publication Number Publication Date
KR20190073585A true KR20190073585A (ko) 2019-06-26
KR102230086B1 KR102230086B1 (ko) 2021-03-18

Family

ID=62108285

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197017076A KR102230086B1 (ko) 2016-11-16 2017-11-16 분해능이하 기판 패터닝 방법

Country Status (6)

Country Link
US (1) US10083842B2 (ko)
JP (1) JP6805414B2 (ko)
KR (1) KR102230086B1 (ko)
CN (1) CN109983564B (ko)
TW (1) TWI721231B (ko)
WO (1) WO2018094073A2 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388644B2 (en) 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
WO2018118092A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Advanced lithography and self-assembled devices
US10867854B2 (en) 2019-01-08 2020-12-15 Tokyo Electron Limited Double plug method for tone inversion patterning

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100747142B1 (ko) * 2002-05-16 2007-08-07 인피네온 테크놀로지스 아게 에칭 단계 수가 감소된 이중 다마신 구조체에 mram오프셋 셀을 제조하는 방법
KR20100032397A (ko) * 2007-06-04 2010-03-25 마이크론 테크놀로지, 인크. 자기 조립 재료를 이용한 피치 멀티플리케이션
KR20120001628A (ko) * 2010-06-28 2012-01-04 에이에스엠엘 네델란즈 비.브이. 스페이서 및 자기-정렬된 어시스트 패턴들을 이용하는 다중 패터닝 리소그래피
KR20140101796A (ko) * 2011-12-29 2014-08-20 인텔 코오퍼레이션 스페이서 보조 피치 분할 리소그래피
US20150371852A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPH09129732A (ja) * 1995-10-31 1997-05-16 Nec Corp 半導体装置の製造方法
KR100512173B1 (ko) * 2003-02-24 2005-09-02 삼성전자주식회사 반도체 기판의 형성 방법
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
TWI476816B (zh) * 2009-06-26 2015-03-11 羅門哈斯電子材料有限公司 自我對準間隔之多重圖案化方法
KR101654048B1 (ko) * 2009-09-02 2016-09-05 삼성전자주식회사 더블 패턴닝 기술을 이용한 반도체 소자 및 제조방법
US8232210B2 (en) 2009-09-18 2012-07-31 International Business Machines Corporation Double patterning process for integrated circuit device manufacturing
WO2012119105A2 (en) * 2011-03-02 2012-09-07 Texas Instruments Incorporated Hybrid pitch-split pattern-split litrography process
US9209019B2 (en) * 2013-09-05 2015-12-08 Diftek Lasers, Inc. Method and system for manufacturing a semi-conducting backplane
WO2014209327A1 (en) * 2013-06-27 2014-12-31 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
US9287131B2 (en) * 2014-02-21 2016-03-15 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules
TWI545622B (zh) * 2014-02-23 2016-08-11 東京威力科創股份有限公司 藉由交叉多重圖案化層以增加圖案密度的方法
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
EP3062334B1 (en) * 2015-02-27 2020-08-05 IMEC vzw Method for patterning an underlying layer
US9287135B1 (en) * 2015-05-26 2016-03-15 International Business Machines Corporation Sidewall image transfer process for fin patterning
US11557479B2 (en) * 2020-03-19 2023-01-17 Tokyo Electron Limited Methods for EUV inverse patterning in processing of microelectronic workpieces

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100747142B1 (ko) * 2002-05-16 2007-08-07 인피네온 테크놀로지스 아게 에칭 단계 수가 감소된 이중 다마신 구조체에 mram오프셋 셀을 제조하는 방법
KR20100032397A (ko) * 2007-06-04 2010-03-25 마이크론 테크놀로지, 인크. 자기 조립 재료를 이용한 피치 멀티플리케이션
KR20120001628A (ko) * 2010-06-28 2012-01-04 에이에스엠엘 네델란즈 비.브이. 스페이서 및 자기-정렬된 어시스트 패턴들을 이용하는 다중 패터닝 리소그래피
KR20140101796A (ko) * 2011-12-29 2014-08-20 인텔 코오퍼레이션 스페이서 보조 피치 분할 리소그래피
US20150371852A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology

Also Published As

Publication number Publication date
TWI721231B (zh) 2021-03-11
US10083842B2 (en) 2018-09-25
CN109983564B (zh) 2023-05-02
WO2018094073A2 (en) 2018-05-24
US20180138051A1 (en) 2018-05-17
JP2019537266A (ja) 2019-12-19
TW201830473A (zh) 2018-08-16
CN109983564A (zh) 2019-07-05
JP6805414B2 (ja) 2020-12-23
KR102230086B1 (ko) 2021-03-18
WO2018094073A3 (en) 2018-07-26

Similar Documents

Publication Publication Date Title
TWI622861B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
US10103032B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
KR101860251B1 (ko) 평탄화를 위해 기판을 패터닝하는 방법
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
TWI633583B (zh) 形成記憶體fin圖案的方法與系統
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
KR102328551B1 (ko) 복수의 재료의 층을 이용하여 기판을 패터닝하는 방법
KR102230086B1 (ko) 분해능이하 기판 패터닝 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant