KR101860251B1 - 평탄화를 위해 기판을 패터닝하는 방법 - Google Patents

평탄화를 위해 기판을 패터닝하는 방법 Download PDF

Info

Publication number
KR101860251B1
KR101860251B1 KR1020167024306A KR20167024306A KR101860251B1 KR 101860251 B1 KR101860251 B1 KR 101860251B1 KR 1020167024306 A KR1020167024306 A KR 1020167024306A KR 20167024306 A KR20167024306 A KR 20167024306A KR 101860251 B1 KR101860251 B1 KR 101860251B1
Authority
KR
South Korea
Prior art keywords
material layer
layer
conformal film
planarization
planarization stop
Prior art date
Application number
KR1020167024306A
Other languages
English (en)
Other versions
KR20160117579A (ko
Inventor
안톤 제이. 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160117579A publication Critical patent/KR20160117579A/ko
Application granted granted Critical
Publication of KR101860251B1 publication Critical patent/KR101860251B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

본 명세서에 개시하는 기술은 고분해능 컨택 개구, 슬롯, 트렌치, 및 기타 피처를 형성하기 위해 패턴 밀도를 증가시키는 것을 포함한다. 등각 스페이서가 2개층 또는 3개층 맨드렐(다층) 또는 기타 릴리프 피처 상에 도포된다. 이에 등각적 스페이서가 그 맨드렐 주위를 둘러싸고 또한 하부층 상에도 퇴적된다. 측벽 스페이서 사이의 갭 또는 공간을 충전하기 위해 충전 재료가 퇴적된다. 그런 다음 CMP 평탄화 단계가 기판 스택 재료를 2개층 또는 3개층 맨드렐의 재료 계면에 이르게 제거하는데, 맨드렐의 중간부 또는 하부 재료가 CMP 정지 재료가 된다. 본 기술은 본질적으로 측벽 스페이서의 상부와 같은 라운드형 피처를 없애거나 제거하여, 균일하게 에칭되며 하부층에 전사될 수 있는 평면의 상면을 갖는 스페이서 재료를 제공한다.

Description

평탄화를 위해 기판을 패터닝하는 방법{METHOD FOR PATTERNING A SUBSTRATE FOR PLANARIZATION}
<관련 출원과의 교차 참조>
본원은 2014년 2월 23일에 출원한 발명의 명칭이 "Method for Patterning a Substrate for Planarization"인 미국 가특허출원 제61/943,486호의 이익을 주장하며, 이 우선권 주장 출원은 그 전체가 참조로 본 명세서에 포함된다.
<발명의 분야>
본 개시내용은 기판 처리에 관한 것이며, 보다 구체적으로는 반도체 웨이퍼 패터닝을 비롯한 기판 패터닝 기술에 관한 것이다.
리소그래픽 공정에서의 라인 폭 감소 방법은 역사적으로 더 높은 NA 광학계(개구수), 더 짧은 노광 파장, 또는 공기 외의 계면 매질(예컨대, 액침)을 이용하는 것을 수반하고 있다. 전통적인 리소그래픽 공정의 분해능이 이론적 한계에 근접하였기 때문에, 제조업자는 광학적 한계를 극복하기 위해 더블 패터닝(DP, double-patterning) 방법으로 바꾸기 시작하였다.
재료 처리 방법론(포토리소그래피 등)에 있어서, 패터닝된 층의 생성은, 포토레지스트 등의 방사선에 민감한 재료의 얇은 층을 기판의 상부면에 도포하는 것을 포함한다. 이 방사선 민감 재료는 패터닝된 마스크로 변하고, 이 마스크는 패턴을 기판 상의 하부층에 에칭 또는 전사하는데 이용될 수 있다. 방사선 민감 재료의 패터닝은 일반적으로, 예를 들어 포토리소그래피 시스템을 이용해 방사선 민감 재료에 레티클(및 연관된 광학계)을 통과한 방사선 소스에 의한 노광을 수반한다. 이 노광에 이어, 현상액을 이용하여 (포지티브 레지스트의 경우에는) 방사선 민감 재료의 조사 영역, 또는 (네거티브 레지스트의 경우에는) 비조사 영역이 제거될 수 있다. 이 마스크층은 다수의 서브층(sub-layer)을 포함할 수 있다.
기판에 대해 방사선 또는 광의 패턴을 노광시키는 종래의 리소그래픽 기술은 노광된 피처(feature)의 사이즈를 제한하고 노광된 피처들 간의 피치 또는 간격을 제한하는 다양한 과제를 갖고 있다. 노광 한계를 완화시키기 위한 한가지 통상의 기술은 통상의 리소그래픽 기술로 현재 가능한 것보다 더 작은 피치에서 더 작은 피처를 패터닝할 수 있는 더블 패터닝 어프로치를 이용하는 것이다.
반도체 기술은 14 나노미터 이하의 피처 사이즈를 포함하는 더 작은 피처 사이즈로 계속해서 발전하고 있다. 다양한 엘리먼트들이 제조되는 피처의 사이즈에 있어서의 이러한 계속된 감소는 그 피처를 형성하는데 이용되는 기술들을 점점 더 많이 요구하고 있다. "피치(pitch)"의 개념은 이들 피처의 사이즈 결정(sizing)을 기술하는데 이용될 수 있다. 피치는 2개의 인접한 반복성 피처들에서의 2개의 동일한 점 사이의 거리이다.
종종 "피치 더블링" 등으로 예시되는 것인 "피치 증가(pitch multiplication)"라고 어느 정도 잘못 명명되는 피치 감소 기술은 피처 사이즈 한계(광학적 분해능 한계)를 넘어 포토리소그래피의 능력을 확장시킬 수 있다. 즉, 통상적인 소정의 계수(factor)만큼 피치의 증가(보다 정확하게는 피치 감소 또는 피치 밀도의 증가)는 타겟 피치를 지정된 계수만큼 감소시키는 것을 수반한다. 193 nm 액침 리소그래피(immersion lithography)와 함께 이용되는 더블 패터닝 기술은 통상 22 nm 노드 이하를 패터닝하기에 가장 유망한 기술 중 하나로서 고려되고 있다. SADP(self-aligned spacer double patterning)가 피치 밀도 배가 공정으로서 이미 확립되어 대규모 NAND 메모리 디바이스 제조에 채택되고 있다는 것을 주목해야 한다. 또한, 피치 4배화(pitch quadrupling)처럼 SADP 단계를 2회 반복하여 초미세 분해능이 달성될 수 있다.
패턴 또는 피치 밀도를 증가시키기 위한 여러 패터닝 기술이 존재하지만, 종래의 패터닝 기술은 불량한 분해능 또는 에칭된 피처의 거친 표면과 같은 과제를 갖고 있다. 이에, 종래의 기술은 매우 작은 치수(20 nm 이하)에 대해 바람직한 수준의 균일성 및 충실도를 제공할 수 없다. 믿을 수 있는 리소그래픽 기술은 약 80 nm의 피치를 갖는 피처를 생산할 수 있다. 그러나, 통상적인 그리고 신생의 설계 사양은 약 20 nm 또는 10 nm 미만의 임계 치수를 갖는 피처를 제조하기를 원한다.
여기에 개시하는 기술은 고분해능 피처를 생성하기 위한 피치 감소(피치/피처 밀도 증가) 방법을 제공한다. 여기에서의 패터닝 기술은 주어진 패턴에서 피처의 밀도를 4배화하거나, 피처 사이즈를 극적으로 감소시킬 수 있다. 다시 말해, 이러한 패터닝 기술은 최초의 임계 치수 피치를 취해서 이 최초 피치를 최초 사이즈의 1/4 이하가 되게 감소시킬 수 있다. 이 피처 감소 기술은 또한 높은 균일성 및 충실도를 갖는 피처 또는 개구를 제공한다.
일 실시형태는 평탄화를 위해 기판을 패터닝하는 방법을 포함한다. 이 방법은 타겟층에 위치한 릴리프 패턴(relief pattern)의 구조를 구비한 기판을 제공하는 단계를 포함한다. 릴리프 패턴의 구조 내의 구조는 평탄화 정지 재료층 상에 배치된 상부 재료층을 포함한 적어도 2개의 재료층을 포함한다. 상부층은 평탄화 정지 재료층과 상이하다. 등각막(conformal film)이 타겟층의 노출면 상에 그리고 구조의 노출면 상에 배치되어 구조의 수직면 상에 측벽 퇴적부가 형성된다. 등각막은, 그 등각막의 측벽 퇴적부 사이의 위치에서 타겟층 표면의 일부로부터 등각막이 적어도 제거되도록, 에칭된다. 등각막의 측벽 퇴적부 사이에 형성된 공간을 적어도 부분적으로 충전하는 충전 재료가 퇴적된다. 등각막 재료, 상부 재료층, 그리고 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 평탄화 정지 재료층의 상면에 평면이 형성되도록 기판이 평탄화된다.
다른 실시형태는 평탄화를 위해 기판을 패터닝하는 방법을 포함한다. 이 방법은 타겟층에 위치한 릴리프 패턴(relief pattern)의 구조를 구비한 기판을 제공하는 단계를 포함한다. 릴리프 패턴의 구조 내의 구조는 타겟층 상에 배치된 하부 재료층, 그 하부 재료층 상에 배치된 평탄화 정지 재료층, 및 평탄화 정지 재료층 상에 배치된 상부 재료층을 포함한 적어도 3개의 재료층을 포함한다. 이 평탄화 정지 재료층은 상부 재료층 및 하부 재료층과는 상이하다. 등각막(conformal film)이 타겟층의 노출면 상에 그리고 구조의 노출면 상에 배치되어 구조의 수직면 상에 측벽 퇴적부가 형성된다. 등각막의 재료는, 특정 에칭 화학작용(etch chemistry)으로 하부 재료층으로부터의 재료 및 등각막을 둘다 에칭할 수 있는 것이 선택된다. 등각막은, 그 등각막의 측벽 퇴적부 사이의 위치에서 타겟층 표면으로부터 등각막이 제거되도록 비등방성으로 에칭된다. 등각막의 측벽 퇴적부 사이에 형성된 공간을 충전하는 충전 재료가 퇴적된다. 등각막 재료, 상부 재료층, 그리고 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 평면이 형성되도록 기판이 평탄화된다.
이 패터닝 공정의 결과로 피처 사이즈의 감소에 상당한 향상이 있다. CMP를 이용하여 충전 재료를 제거하고, 등각으로 도포된 스페이서의 상부를 원자적으로 편평하게 함으로써 LER/LWR에 극적 향상을 제공한다. 이 신규한 기술은 피처 밀도를 증가시키는 개선된 방법을 제공하고 피처 분해능 손상 없이 보다 컴팩트하고 균일한 어레이를 제공한다.
물론, 여기에서 설명하는 바와 같이 상이한 단계들의 설명 순서는 명확함을 위해 제시된 것이다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 또, 본 발명의 상이한 특징, 기술, 구성 등의 각각이 본 개시내용의 상이한 장소에서 설명될 수도 있지만, 그 개념들 각각은 서로 독립적으로 또는 서로 조합으로 실행될 수 있는 것이 의도된다. 따라서, 본 발명은 다수의 상이한 방식으로 구현되어 보여질 수 있다.
본 발명의 내용 부분에서는 본 개시내용 또는 청구하는 발명의 모든 실시형태 및/또는 점차적으로 새로운 양태를 명시하지 않는다는 것을 알아야 한다. 대신에, 본 발명의 내용은 상이한 실시형태들의 예비 설명 및 종래의 기술을 능가한 대응하는 새로운 점을 제공한다. 본 발명 및 실시형태의 추가 상세 및/또는 가능한 견지에 대해서는, 이하에서 더 설명하는 본 개시내용의 구체적인 설명 부분 및 대응하는 도면을 참조하면 된다.
본 발명의 다양한 실시형태에 대한 보다 완전한 이해 및 뒤따르는 많은 장점들은 첨부 도면과 함께 이어지는 상세한 설명을 참조함으로써 쉽게 명백해질 것이다. 도면은 반드시 일정한 축적으로 되어 있지 않으며, 대신에 특징, 원리 및 개념을 예시할 때에 강조되어 있다.
도 1 내지 도 7은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 측단면도이다.
도 8은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 투시 단면도이다.
도 9은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 상측 단면도이다.
도 10 내지 도 12는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 측단면도이다.
도 13은 패턴 전사에 따른 종래의 과제를 보여주는 예시적인 기판 부분의 측단면도이다.
도 14은 본 명세서에 개시하는 실시형태에 따른 정확한 기판 전사를 보여주는 예시적인 기판 부분의 측단면도이다.
도 15 내지 도 21은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 측단면도이다.
도 22은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 투시 단면도이다.
도 23 내지 도 30은 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 측단면도이다.
본 명세서에 개시하는 기술은 고분해능 트렌치, 컨택 개구 및 기타 피처를 형성하기 위해 패턴 밀도를 증가시키는 것을 포함한다. 본 명세서에 개시하는 패터닝 기술은 신규한 평탄화 기술과 함께, 더블 패터닝 기술, 측벽 이미지 기술의 조합을 포함한다. 본 명세서에 개시하는 패터닝 기술은 주어진 패턴에서 피처의 밀도를 4배화할 수 있다. 다시 말해, 이러한 패터닝 기술은 최초의 임계 치수 피치를 취해서 이 최초 피치를 최초 사이즈의 1/4 또는 1/8 이하가 되게 감소시킬 수 있다. 이 피처 감소 기술은 또한 높은 균일성 및 충실도를 갖는 피처 또는 개구를 제공한다. 본 명세서에 개시하는 패터닝은 또한 종래에 이용 가능한 것보다 더 높은 분해능에서 더블 패턴을 가능하게 할 수 있다.
일반적으로, 본 명세서에 개시하는 방법은 2개층 또는 3개층 맨드렐(mandrel)(다층) 또는 기타 형상의 피처 상에 등각적 스페이서를 퇴적하는 단계를 포함할 수 있다. 이에 등각적 스페이서는 그 맨드렐 및 하부층 주위를 둘러싼다. 또한 측벽 스페이서들 간의 갭 또는 공간을 충전하기 위해 충전 재료가 퇴적되고, 이것은 기판 상에 과잉충전(over-fill)될 수 있다. 그런 다음 CMP 평탄화 단계가 기판 스택 재료를 2개층 또는 3개층 맨드렐의 재료 계면에 이르게 제거하는데, 맨드렐의 중간부 또는 하부 재료가 CMP 정지 재료가 된다. 이것은 본질적으로 측벽 스페이서의 라운딩(rounding)을 없애거나 제거함으로써, 휨이나 기타 원치 않는 에칭 결과를 야기하는 라운딩 또는 패싯(facet) 없이, 균일하게 에칭되며 하부층에 전사될 수 있는 평면의 상면을 갖는 스페이서 재료를 제공한다. 다시 말해, 주어진 기판은 측벽 스페이서를 절반(이상 또는 이하)으로 잘라내도록 평탄화된다. 이 기술에 따른 한가지 효과는 하부층에 전사되는 스페이서(맨드렐 또는 갭 중 하나) 사이의 공간을 대신하여, 스페이서 그 자체가 전사할 패턴 또는 개구를 제공하기 위해 노출된다는 것이다. 이것은 스페이서 재료가 ALD(atomic layer deposition)에 의해 도포되기 때문에 중요하고, ALD막이 한 번에 하나의 단원자층(atomic monolayer)에 도포되기 때문에, 스페이서의 CD(전사 CD)가 원자 레벨로 제어될 수 있다.
따라서, 본 명세서에 개시하는 기술은 10 nm 이하의 라인/트렌치에 대해 LER/LWR(Line Edge Roughness/Line Width Roughness)가 개선된 패턴 설계/레이아웃을 제공할 수 있다. 본 명세서에 개시하는 방법은 셀프얼라인 크로스 피치 쿼드 패터닝 기술(self-aligned cross pitch quad patterning technique) 등에 대해 개선된 패턴 및 트렌치를 제공하는 것을 포함할 수 있다. 하나의 특정 예시적인 실시형태에 있어서, 레지스트 패턴이 하부의 비정질 탄소 및 실리콘 질화물(SiN)층에 전사되고, 이로써 실리콘 질화물의 상부 상에 배치된 비정질 탄소로 이루어진 구조 또는 맨드렐을 형성한다. 그리고 이들 맨드렐 위에 스페이서 산화물이 퇴적되고 스페이서 에칭이 이어진다. 이어서, 비정질 탄소 또는 기타 충전 재료를 이용해 트렌치/트렌치의 과잉충전이 행해진다. 그리고 SiN을 덮는 과잉 비정질 탄소를 제거하기 위해 CMP(chemical-mechanical polishing)를 이용한 평탄화 단계가 행해진다. 패턴은 이어서 산화물 스페이서를 통해 하부층(폴리실리콘 등)에 전사될 수 있다. 후속해서 비정질 탄소 및 SiN이 제거될 수 있다. 언급한 특정 재료는 예시적인 실시형태를 설명함에 있어서 편의적인 것일 뿐임을 알아야 한다. 실제로, 임의의 CMP 정지 재료가 이용될 수 있는데, 즉 임의의 재료가 CMP 연마에 효과적으로 저항하여 추가의 재료 제거를 막는 내구력 있는 정지 표면을 제공한다. 스페이서 재료가 충전 재료 및 CMP 정지 재료에 대해 선택적으로 에칭될 수 있다면(스페이서 재료는 에칭되고 CMP 정지 및 충전 재료는 에칭되지 않거나 상대적으로 느린 레이트로 에칭된다면), 어떤 스페이서 및 충전 재료도 이용될 수 있다.
이제 도면을 참조하여 예시적인 실시형태에 대해 설명한다. 도 3을 참조하면, 실시형태는 기판(105)을 패터닝하는 방법을 포함한다. 기판(105)은 하나 이상의 하부층을 포함할 수 있고, 또 일반적으로, 다수의 상이한 피처가 퇴적 및 형성될 수 있는 하지로도 칭해질 수 있다. 이 방법은 타겟층(107)에 위치한 릴리프 패턴(relief pattern)의 구조를 구비한 기판(105)을 제공하는 단계를 포함한다. 릴리프 패턴의 구조 내의 구조(110)는 평탄화 정지 재료층(115) 상에 배치된 상부 재료층(112)을 포함한 적어도 2개의 재료층을 포함한다. 상부 재료층(112)는 상이한 재료 조성을 갖는 것 등, 평탄화 정지 재료층(115)과는 상이하다. 이 릴리프 패턴의 구조는 제1 방향으로 배향된 평행한 연장 구조(elongated structure)를 포함할 수 있다. 전체 릴리프 패턴은 평행한 선형 구조를 포함할 수도 또는 포함하지 않을 수도 있지만, 제1 구조의 적어도 일부는 다수의 평행한 선형 구조를 포함할 수 있는 것을 알아야 한다. 도 3에서 보는 것은 라인의 연장 방향에 속하며, 이에 구조(110)(제1 구조)가 맨드렐로서 보이게 된다. 평행한 연장 구조들의 각각의 연장 구조는 미리 정해진 폭을 가질 수 있고, 서로 간의 수평 거리가 미리 정해진 폭의 3배와 같아지도록 위치 결정된다. 이에, 기판(105)의 적어도 일부에 대해, 피처 라인은 서로 평행할 수 있고 각각은 서로 간의 이격 거리의 3배와 같은 폭을 가질 수 있다. 상부 재료층(112)과 평탄화 정지 재료층(115) 각각의 상대적 높이는 특정 제조 방식의 다양한 설계 목적에 좌우될 수 있다.
구조(110)는 2층 맨드렐 또는 더블 스택(double-stacked) 맨드렐로서 간주될 수 있다. 도 3에는 맨드렐을 포함하는 2개층을 도시하고 있지만, 2개보다 많은 층이 이들 맨드렐을 형성하는데 이용될 수 있다. 다양한 재료도 이용될 수 있다. 비제한적인 예를 들면, 상부 재료층(112)은 비정질 탄소, 폴리실리콘, 산화물 등일 수 있다. 상부 재료층(112)은 평탄화 정지 재료층(115)과는 상이한 재료로 이루어질 수 있다. 상부 재료층(112)은 결국에는 평탄화에 의해 제거될 것이며, 그래서 후속 공정에 대한 플라즈마 에칭 선택비가 이 재료의 선택 요인이 될 필요는 없지만, 양호한 선택은 비교적 수월하게 CMP에 의해 평탄화될 수 있는 재료를 포함한다. 평탄화 정지 재료층(115)은 실리콘 질화물(SiN), 티탄 질화물, 실리콘-게르마늄계 재료 등일 수 있다. 평탄화 정지 재료층(115)에 선택된 재료는 화화적 기계 연마에 저항하는 재료이어야 한다. 다시 말해, 평탄화 정지 재료층은 CMP 정지층을 제공하도록 선택된다.
또한, 구조(110)에 의해 정해지는 간격, 즉 제1 구조의 인접한 구조들 사이의 거리는 구조(110)의 일부인 주어진 (개별) 구조의 주어진 폭의 3배와 같아질 수 있음을 알아야 한다. 다시 말해, 1:3 간격비(spacing ratio), 또는 기타 간격비로 더블 스택 맨드렐을 갖는 기판이 제공될 수 있다.
다양한 패터닝 방식 또는 작업을 이용하여 도 3에 도시하는 기판 스택에 도달할 수 있다. 예를 들어, 도 1을 참조하면, 평탄화 정지 재료층(115)이 타겟층(107) 상에 퇴적될 수 있다. 그런 다음 상부 재료층(112)이 평탄화 정지 재료층(115) 상에 퇴적될 수 있다. 릴리프 패턴일 수 있는 사전 패턴(pre-pattern)(103)이 하부층에 패턴을 생성하도록 형성될 수 있다. 이 사전 패턴층은 이미 행해진 더블 패터닝 공정의 결과일 수 있다. 일부 실시형태에 있어서, 사전 패턴(103)은 포토리소그래피에 의해 패터닝된 포토레지스트일 수 있다. 이 사전 패턴층은 원한다면 1:3 간격비를 가질 수도 있음을 알아야 한다. 다시 말해, 사전 패턴 내의 각각의 형상 피처가 미리 정해진 폭을 갖고, 이들 형상 피처 사이의 이격 거리는 미리 정해진 폭과 같다. 이들 형상 피처는 평행한 라인 또는 평행한 형상 피처의 세트를 형성하는 연장된 부분을 포함하는 것을 알아야 한다. 사전 패턴층 아래의 층은 다양한 퇴적 기술을 통해 막으로서 퇴적될 수 있다.
다른 이격 방식도 이용될 수 있음을 알아야 한다. 1:3 사전 패턴 간격은 감소(패턴 증가)후 결과적으로 1-1-1 간격이 된다는 점에서 효과적이다. 다른 실시형태도 협(narrow) 트렌치 적용에 이용될 수 있고 1:10 등의 다른 사전 패턴 비에서 시작할 수도 있다. 다른 컨택 형성 방식의 경우, 최초 1:5 비가 이용될 수 있다(소형 컨택-대형 공간-소형 컨택-대형 공간). 비가 더 작으면 에칭 버짓(etch budget) 또는 공차(tolerance)가 커질 수 있다. 컨택이 작을수록, 주어진 CD 버짓 내에 있으면서 오버에칭의 여지가 더 많아진다. 다른 옵션은 대형 공간 및 더블 트렌치를 포함하는(일례로 비는 1:3:1:8:1:3:1:8일 수 있음) 더블 트렌치를 형성하는 것이다.
선택되는 어떤 이격 방식 및 사전 패턴이라도 2개 이상의 하부층에 전사되어 도 2에 도시하는 바와 같이 더블 스택 또는 트리플 스택 맨드렐을 형성할 수 있다. 이러한 패턴 전사는 통상 비등방성 에칭 공정을 통해 실행된다. 에칭되는 재료의 종류에 따라 에칭 화학작용이 조절될 수 있다. 사전 패턴층의 에칭 전사가 완료된 후에, 사전 패턴층은 이용되는 재료의 종류에 기초한 통상의 재료 제거 기술을 통해 제거될 수 있다. 이것은 애싱 공정 또는 습식 세정 공정 등을 포함할 수 있다. 그 결과가 릴리프 패턴의 구조이다.
이제 도 4를 참조하면, 등각막(conformal film)(120)이 타겟층(107)의 노출면 상에 그리고 구조(110)의 노출면 상에 배치되어 구조(110)의 수직면 상에 측벽 퇴적부가 형성된다. 등각막(120)은 구조(110)의 미리 정해진 폭과 대략 같은 퇴적 두께를 가질 수 있다. 이러한 등각막이 예컨대 ALD(atomic layer deposition)를 통해 도포될 수 있다. ALD 이용에 따른 한가지 효과는 이러한 등각막의 두께가 원자 레벨로 제어될 수 있다는 것이다. 비제한적인 예를 들면, 등각막(120)은 산화물일 수 있다. 다른 실시형태에 있어서, 등각막의 두께는 맨드렐의 폭보다 크거나 작을 수 있다. 일부 실시형태는 등각막으로부터 생성된 스페이서가 그 재료에 의해 양측이 지지될 것이기 때문에 종횡비가 높은(예컨대, 5:1 또는 10:1 등) 측벽 스페이서를 구비하는 것을 포함할 수 있다. 따라서, 등각막이 양측에서 그 등각막을 지지하는 재료를 가질 것이기 때문에 붕괴(toppling)의 염려 없이 상당히 얇은 등각막이 퇴적될 수 있다. 다시 말해, 비교적 고종횡비를 갖는 측벽 스페이서는 제조 공정 중의 어느 시점에서도 단독으로 배치되지 않아도 된다.
등각막(120)의 퇴적 후에, 등각막(120)으로부터 측벽 스페이서(121)가 생성된다. 이것은, 구조(110)의 상면으로부터 그리고 도 5에서 아이템(122)으로 도시하는 바와 같은, 측벽 스페이서 사이의 위치에서의 타겟층(107)으로부터 등각막 재료를 제거하는 비등방성 에칭을 실행함으로써 달성될 수 있다. 일부 등각막은 구조(110)에 인접한 위치에서 타겟층(107) 상에 남아 있지만, 측벽 스페이서(121) 사이에(그리고, 더 나아가 구조(110) 사이에) 형성된 영역은 제거되는 것을 알아야 한다. 이에, 등각막(120)은, 그 등각막의 측벽 퇴적부 사이의 위치에서 타겟층 표면의 일부로부터 등각막이 적어도 제거되도록, 에칭된다.
측벽 스페이서(121)가 생성된 후에, 충전 재료(130)가 기판(105) 상에 퇴적되어, 등각막(120)의 측벽 스페이서(121) 사이에 형성된 공간을 충전한다. 일례의 결과를 도 6에 나타낸다. 이 충전 퇴적은 상부 재료층(112)을 완전하게 덮는 것이 요구되지 않는 것을 알아야 한다. 구조 사이의 공간을 완벽하게 충전하는 것이 유리하거나 편리할 수 있지만, 대안적 실시형태는 평탄화 정지 재료층(115)과 같은 높이로 또는 바로 그 위(예컨대, 실리콘 질화물 상면 위)까지 공간이 충전되게 할 수도 있다. 충전 재료(130)는 평탄화 정지 재료층(115)의 수평 상면까지 퇴적되기만 하면 되는 것을 알아야 한다. 그러나, 실제로는 충전 재료(130)로 과잉충전되기 쉽다. 이에, 충전 재료 퇴적은 평탄화 정지 재료층의 상면을 덮기에 충분한 재료를 퇴적하는 것을 포함할 수 있다. 일부 실시형태에서는 재료의 높이보다 3배 더 높게 과잉충전될 수도 있다. 충전 재료(130)(갭 충전 재료)는 비정질 탄소, 폴리실리콘, 또는 스페이서 재료 및 평탄화 정지 재료층(115)에 대해 선택성을 제공하여 등각 재료를 선택적으로 노출시킬 수 있는 임의의 재료일 수 있다. 일부 실시형태에 있어서, 특정 종류의 포토레지스트가 충전 재료(130)에 이용될 수 있다. 다른 옵션은 비정질 실리콘 또는 SOG(spin-on-glass) 재료를 포함한다. 이상적인 재료는 CMP를 이용해서 수월하게 평탄화될 수 있다. 충전 재료(130)는 등각막 재료의 에칭에 선택성을 갖는 임의 종류의 오버코트 재료 또는 스핀 충전으로서 퇴적될 수 있다. 비정질 탄소는 균일하게 코팅하기 때문에 효과적일 수 있고, 수월하게 평탄화될 수 있으며, 다른 재료가 적합하더라도 상대적으로 도포에 경제적이다.
이 때, 기판이 이어서 평탄화될 수 있다. 이러한 기판의 평탄화는, 등각막 재료, 퇴적된 충전 재료, 및 상부 재료층(112)를 제거하여 (기판이 수평으로 배치되는 것이라고 한다면) 평탄화 정지 재료층(115)의 상면에 실질적으로 평면이 형성되게 함으로써 실행된다. 평탄화는 종래에 알려진 CMP(chemical-mechanical polishing) 기술을 이용해 달성될 수 있다. 재료의 선택이 CMP 평탄화를 도울 수 있다. 예를 들어, 상부 재료층은 화학적 기계 연마에 의한 평탄화 정지 재료층의 제거율보다 10배 더 높은 레이트로 화학적 기계 연마로부터 제거된다는 점에서 평탄화 정지 재료층과는 상이할 수 있다.
결과는 도 7에 나타낸다. 도 8은 상이한 재료로 된 평행한 라인들을 볼 수 있는, 그 결과의 투시도를 보여준다. 예를 들어 실리콘 질화물은 양호한 CMP 정지 재료를 제공한다. 따라서, 도 3 내지 도 7에 대한 이 패터닝 시퀀스는 주어진 간격에서의 더블 스택(또는 트리플 스택)의 형상 패턴을, 도 8과 도 9에 도시하는 바와 같이, 실리콘 질화물 및 비정질 탄소(또는 기타 재료)와 교대로 경계가 정해지는 평행한 산화물(또는 기타 재료) 라인을 포함하는 평탄화된 멀티라인 층으로 변환하는 라인 생성 시퀀스로 간주될 수 있다. 이에, 공간으로서 배향된 막은 이제 2개 이상의 재료가 양측에 배치되는데, 즉 실리콘 질화물이 한쪽 측에 배치되고 비정질 탄소가 다른쪽 측에 배치된다. 그렇기 때문에, 평면이 되는 이 멀티라인 층(multi-line layer)은 다양한 배향으로 추가 층이 이 평탄화된 멀티라인 층 상에 퇴적될 수 있다는 점에서 "스택 가능(stackable)"하다. 교차된 배향의 스택은 컨택 개구, 슬롯, 및 기타 피처를 형성할 수 있다. 이러한 패턴의 스택에 관한 더욱 상세한 내용은 발명의 명칭이 "Method for Multiplying Pattern Density by Crossing Multiple Patterned Layers"인 미국 특허 가출원번호 14/623,947에서 찾을 수 있으며, 이 특허문헌은 그 전체가 참조문헌으로서 포함된다. 평탄화된 멀티라인 층의 상부에 퇴적된 마스킹 층은 임의의 주어진 2차원 패턴을 가질 수 있음을 알아야 한다. 예를 들어, 유사한 막이, (교차 배향을 이용해) 선형 피처를 교차하는 평탄화된 멀티라인 층의 상부에 퇴적 또는 형성될 수도 있다. 한편, 특정 개구를 갖는 포토지스트 마스크가 퇴적될 수도 있다. 다른 층 또는 멀티 패터닝 기술이 패턴 전사를 위해 덮이지 않은 영역을 형성하는데 이용될 수 있다. 예를 들어, 상부 마스크층이 전사할 소수의 컨택 위치를 한정할 수 있으며, 기판의 잔여 부분은 마스킹된다. 다른 예에 있어서, 비교적 대형 어레이의 포인트들이 하부 층에 전사된다. 이에 임의의 2차원 패턴이 멀티라인 층의 상부에 배치 또는 생성되어, 전사되는 등각막 재료의 영역의 마스킹 또는 형성을 도울 수 있다.
그 결과로 형성된 패턴(117)은 평탄화 정지 재료층(115) 및 충전 재료(130)에 대해 등각막 재료를 선택적으로 에칭함으로써 타겟층(107)에 전사될 수 있다. 도 10은 등각막(120)(등각막의 잔여 부분)이 제거되는 것을 나타낸다. 따라서, 평탄화 정지 재료층 및 충전 재료에 의해 형성되는 패턴이 타겟층에 전사된다. 패턴 전사는, 충전 재료 및 평탄화 정지 재료층이 패턴을 타겟층에 전사하기 위한 마스크로서 이용되도록 충전 재료 및 평탄화 정지 재료층은 실질적으로 에칭하지 않고서 등각막 재료를 에칭하는 비등방성 에칭 공정을 실행하는 단계를 포함할 수 있다. 따라서, 그 결과로 형성된 패턴(117)은 이제 타겟층(107)에의 전사를 위한 에칭 마스크로서 기능할 수 있다. 도 11은 타겟층(107)이 에칭된 후의 일례의 결과를 나타낸다. 타겟층(107) 위의 잔여 재료가 이어서 제거되어 도 12에 도시하는 바와 같은 패터닝된 타겟층이 된다. 반전 패턴이나 재료도 에칭 마스크로서 이용될 수 있다. 실시형태는 (등각막이 아니라) 충전 재료 및 평탄화 정지 재료층의 잔여 부분을 제거한 다음, 등각막 재료에 의해 형성된 패턴을 타겟층에 전사하는 것을 포함할 수 있다.
후속 전사 이전에 스페이서를 통과하는 CMP 평탄화 단계 때문에 ALD 재료의 에칭(노출)이 가능하다. 종래에는, 측벽 이미지 전사 플로우에 있어서, 패턴을 전사하는데 이용되는 것은 스페이서 사이의 공간이다. 그러나 본 명세서에 개시하는 기술에서는, CMP 단계가 스페이서 가운데를 관통하기 위해 더블 스택 또는 멀티 스택 맨드렐에 이용된다. 이 관통 스페이서는 후속 전사 단계에서 노출될 것이다. 하드 마스크로서 측벽 스페이서 사이의 공간을 이용하는 것이 아니라, 등각막이 이전에 차지한 공간이 전사된다. 종래에는, 측벽 스페이서가 기판 스택 내에 남아 있고 패턴 전사를 위한 하드마스크로서 이용된다. 그러나, 이러한 종래 기술에는 균일성 문제가 있다. 예를 들어, 에칭된 스페이서로부터의 라운딩 영향(rounding effect)이 더 작은 치수로의 스케일링을 허용하지 않거나 적어도 균일한 스케일링을 허용하지 않는다.
본 명세서의 신규한 평탄화 단계는 본 명세서에 이용되는 기판 스택과 함께, 라운딩 영향으로 야기되는 불균일성을 없앤다. 더블 스택 맨드렐(또는 트리플 스택 맨드렐)을 구비함으로써, 멀티 스택 맨드렐의 평탄화 정지층 또는 중간부는 질화물 CMP 정지부로서 기능하는 SiN일 수 있다. 이에, 맨드렐은 그 맨드렐 자체 내에서 CMP 정지가 제공되거나 일어난다. 이러한 맨드렐 생성은 실리콘 질화물 막을 먼저 퇴적한 다음 질화물 막의 상측에 비정질 탄소막을 최적함으로써 달성될 수 있다. 질화물층의 높이 또는 두께는, 스페이서의 상부의 라운딩 영향을 제거하기 위한 최적 포인트(라운딩된 스페이서 엣지 아래 및 임의의 맨드렐 풋터(footer) 위의 포인트)에서 CMP 단계가 스페이서를 관통하도록 선택될 수 있다. 이것은 실질적으로 직사각형의 단면을 갖는 산화물 러너(oxide runner) 또는 라인을 제공하는 것을 돕는다. 이것은 멀티라인형 피치 감소 층의 스택에 매끄러운 평면을 제공하는 것을 돕는다.
일부 실시형태에 있어서, 산화물 또는 산화물류 막이 질화물 막(CMP 정지층) 아래에 퇴적될 수 있고, 이것은 다층 맨드렐을 생성함에 있어서 사전 패턴의 에칭으로부터 발생하는 임의의 추가 문제를 없앨 수 있다. 이에, 산화물 또는 산화물류 막은 패턴을 하부의 타겟층에 전사할 때에 임의의 돌출형 풋(foot)이 최종 산화물을 방해하지 않게 하는 산화물처럼 에칭된다.
도 13은 이 종래의 과제를 도시하고 있다. 타겟층(207) 상에 배치된 맨드렐(210)은 그 사이에 스페이서 재료(220)가 충전된다. 맨드렐을 형성할 때와 같이, 하드마스크를 통해 패턴을 하부층에 전사할 때에, 풋터(209)가 맨드렐(210)과 타겟층(207)의 계면에 생길 수 있다. 풋터(209)는 트렌치 내에 돌출한, 매끄러운 수직면이 없는 본질적으로 맨드렐 재료이다. 주어진 맨드렐이 결국 에칭 마스크로서 이용될 때에, 맨드렐 재료의 풋터(209)는 원하는 임계 치수의 전사를 막는다. 맨드렐(210)의 측벽과 측벽 사이의 치수 A가 전사되기를 원하는 치수임을 알아야 한다. 그러나, 실제 전사된 치수는 현저하게 더 작은 치수인 치수 B이다. 이 풋터 문제 때문에, 다수의 바람직한 패터닝 기술이 통상 구현되지 못하고 있다.
그러나, 이제 도 14를 참조하면, 본 명세서의 기술은 원하는 임계 치수가 하부층에 전사될 수 있도록 이 풋터 문제를 해결한다. 본 명세서에 나타내는 실시형태는 더블 또는 트리플 층 맨드렐(의 일부로서) 아래에 배치된 기초부(109)를 이용해서 풋터 문제를 처리한다. 기초부(109)로서 선택되는 재료는 스페이서 재료와 에칭 특성이 유사한 재료이다. 이에, 기초부 재료는 스페이서 재료와 동일할 수 있거나, 간단하게는 유사하게 에칭될 수 있다(동일한 화학작용으로 에칭). 다시 말해, 스페이서 재료의 비등방성 에칭을 실행할 때에, 에칭되는 트렌치 또는 홀 내에 돌출한 기초부의 임의의 풋터가 스페이서 재료로서 에칭 공정 화학작용에 출현하여 그 스페이서 재료와 함께 에칭될 것이다. 도 14에서 확인할 수 있는 바와 같이, 맨드렐(111)의 임계 치수 A는 성공적으로 타겟층에 전사될 수 있다. 기초부(109)로부터의 풋은 전사 에칭 중에 트리밍되어, 타겟층(107)을 통해 맨드렐(11)로부터 실질적으로 수직 측벽이 되었음을 알아야 한다.
따라서, 이러한 풋터에 관한 패턴 전사 문제는 트리플 스택 맨드렐을 이용해서 극복될 수 있다. 도 15 내지 도 30은 맨드렐이 기초부(pedestal) 또는 하부 재료층(119)을 포함한다는 점을 제외하면, 전술한 순서와 유사한 제조 순서를 나타내고 있다. 이 하부 재료층(119)은 맨드렐이 생성되는 3개 이상의 층을 퇴적할 때에 막으로서 이용될 수 있다. 도 15는 도 1과 비교해서 하부 재료층(119)이 추가되었음을 보여준다. 하부 재료층(119)은 등각막 재료와 유사한 에칭 특성을 갖는 것이 선택된다. 사전 패턴(103)이 기판 스택에 전사될 수 있고(도 16) 이어서 제거되어 도 17에 도시하는 바와 같이 3층 맨드렐이 된다. 하부 재료층(119)은 풋 또는 돌출부를 갖는 것으로 도시되어 있음을 알아야 한다. 다시 말해, 하부 재료층(119)은 실질적으로 수직 측벽을 갖고 있지 않고, 대신에 평탄화 정지 재료층(115)의 수직 측벽으로부터 멀리 연장되는 곡면을 갖고 있다.
이에, 다른 실시형태는 평탄화를 위해 기판을 패터닝하는 방법이다. 이 방법은 타겟층(107)에 위치한 릴리프 패턴(relief pattern)의 구조를 구비한 기판을 제공하는 단계를 포함한다. 릴리프 패턴의 구조 내의 구조(110)는 타겟층(107) 상에 배치된 하부 재료층(119), 그 하부 재료층(119) 상에 배치된 평탄화 정지 재료층(115), 및 그 평탄화 정지 재료층(115) 상에 배치된 상부 재료층(112)을 포함한 적어도 3개의 재료층을 포함한다. 이 평탄화 정지 재료층(115)은 적어도 조성이 상부 재료층(112) 및 하부 재료층(119)과는 상이하다. 평탄화 정지 재료층(115)는 상부 재료층(112)보다 10배 더 높은 레이트로 화학적 기계 연마에 저항하도록 설계될 수 있다.
등각막(120)이 타겟층(107)의 노출면 상에 그리고 구조(110)의 노출면 상에 배치되어 구조의 수직면 상에 측벽 퇴적부가 형성된다. 등각막의 재료는, 특정 에칭 화학작용으로 하부 재료층(119)으로부터의 재료 및 등각막(120)을 둘다 에칭할 수 있는 것이 선택된다. 도 18은 이 공정 단계의 일례의 결과를 나타낸다. 등각막(120)은, 그 등각막의 측벽 퇴적부 사이의 위치에서 타겟층(107)으로부터 등각막(120)이 제거되도록 비등방성으로 에칭된다. 일례의 결과를 도 19에 나타낸다.
도 20에 도시하는 바와 같이 등각막(120)의 측벽 퇴적부 사이에 형성된 공간을 충전하는 충전 재료(130)가 퇴적된다. 전술한 바와 같이, 기판은 도 21과 도 22에 도시하는 바와 같이, 등각막 재료, 상부 재료층, 그리고 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 평면이 형성되도록 평탄화된다.
도 23을 참조하면, 비등방성 에칭 등을 이용하여, 등각막의 잔여부가 제거될 수 있다. 하부층(119)이 등각막과 함께 에칭되기 때문에, 수직 에칭 프로파일이 타겟층(107)에 이르게 된다. 다른 실시형태에 있어서, 하부 재료층(119)은 등각막을 에칭하는데 이용된 특정 화학작용으로 에칭되지 않지만, 하부 재료층(119)과 충전 재료층(130)과 평탄화 정지 재료층(115) 사이에는 제2 화학작용으로 임의의 풋터를 제거하는 것이 가능하도록 여전히 에칭 선택성이 존재한다.
그 결과로 형성된 패턴은 이어서 패턴을 타겟층(107)에 전사하기 위한 마스크로서 이용될 수 있다. 예를 들어, 평탄화 정지 재료층(115) 및 충전 재료(130)에 의해 형성되는 패턴은 도 25에 도시하는 바와 같이, 타겟층(107)에 전사된다.
다른 실시형태에서는, 통상 주어진 3층 맨드렐의 높이가 충분할 경우, 도 19와 같은 스페이서 단계는 선택적일 수 있다. 평탄화 정지 재료층의 상면과 측벽 퇴적부 사이의 위치에 있는 등각막의 상면 사이에 거리가 충분하여, 등각막 퇴적 직후에 충전 재료가 도포될 수 있는데, 에칭 마스크를 제공하도록 충전 재료로부터 플러그를 형성하기에 공간이 충분하다. 도 26은 스페이서 에칭 없이 충전 재료(130)를 퇴적하는 예시를 나타낸다. 그리고 기판은 전술한 바와 같이 CMP 유형의 평탄화를 이용하여 이 시점에서 평탄화될 수 있다. 이 평탄화 후의 기판의 일례의 결과를 도 27에 나타낸다. 충전 재료(130)는 등각막(120)으로 둘러싸여 있음을 알아야 한다. 충전 재료(130)는 본질적으로, 플러그 바로 밑에서의 등각막의 에칭을 막기 위한 플러그 또는 마스크로서 기능한다. 따라서, 등각막 재료는 타겟층(107)에 이르게 비등방성으로 에칭되고(도 28) 타겟층(107)에 전사될 수 있다(도 29). 잔여 마스킹 재료가 제거되고 그 결과로 타겟층(107)이 되고 도 30에 도시하는 바와 같이 이제 패터닝된 층이 된다.
이에, 본 명세서에 나타낸 이들 패터닝 공정은 피처 사이즈 감소, 피처 밀도, 및 피처 분해능에 있어서 유효한 향상을 제공할 수 있다.
이상의 설명에 있어서, 처리 시스템의 특정 기하학적 구조 및 사용되는 다양한 구성요소와 공정의 설명과 같은 특정 상세를 설명하였다. 그러나, 본 발명에 따른 기술은 이들 특정 상세와는 상이한 다른 실시형태로도 실시될 수 있으며, 이러한 상세는 설명을 위한 것이지 제한용이 아님이 물론이다. 본 명세서에 개시하는 실시형태는 첨부 도면을 참조하여 설명되었다. 마찬가지로, 설명의 목적상, 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성요소를 설명하였다. 그럼에도, 실시형태들은 이들 특정 상세 없이도 실시될 수 있다. 실질적으로 동일한 기능의 구성을 구비하는 구성요소들은 같은 참조 번호로 표시되며, 그래서 임의의 뒤따르는 설명은 생략될 수 있다.
다양한 실시형태의 이해를 돕기 위해 다양한 기술들이 다수의 분리된 동작들로 설명되었다. 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안 된다. 사실상, 이들 동작은 반드시 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 "기판(substrate)" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상을 지칭한다. 기판은 디바이스, 구체적으로 반도체 또는 기타 전자 디바이스의 임의의 재료의 부분 또는 구조를 포함할 수 있으며, 예컨대 박막 등의 베이스 기판 구조 상에 있는 또는 그 위를 덮는 반도체 웨이퍼, 레티클, 또는 층과 같은 베이스 기판 구조일 수 있다. 이에, 기판은 임의의 특정 베이스 구조에, 하부층 또는 상부층에, 패터닝 또는 비패터닝되는 것에 한정되지 않거나, 오히려 임의의 그러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 간주된다. 설명은 특정 타입의 유형의 기판을 언급하지만, 이것은 예시 목적일 뿐이다.
당업자라면 본 발명의 동일한 목표를 여전히 달성하면서 전술한 지침의 동작에 다양한 변형이 있을 수 있음도 이해할 것이다. 이러한 변형은 본 발명의 범위 내에 포함되는 것이 의도된다. 이 경우에도, 본 발명의 실시형태에 대한 앞의 설명은 제한적인 것으로 의도되지 않는다. 그보다는, 본 발명의 실시형태에 대한 임의의 제한은 다음의 청구범위 내에 있다.

Claims (20)

  1. 평탄화를 위해 기판을 패터닝하는 방법에 있어서,
    타겟층 상에 위치한 릴리프 패턴(relief pattern)의 구조를 구비한 기판을 제공하는 단계로서, 상기 릴리프 패턴의 구조 내의 구조는 상기 타겟층 상에 배치된 하부 재료층과, 상기 하부 재료층 상에 배치된 평탄화 정지 재료층과, 상기 평탄화 정지 재료층 상에 배치된 상부 재료층을 포함한 적어도 3개의 재료층을 포함하고, 상기 상부 재료층은 상기 평탄화 정지 재료층과는 상이한 것인 상기 기판 제공 단계와,
    상기 타겟층의 노출면 상에 그리고 상기 구조의 노출면 상에 등각막(conformal film)을 퇴적하여 상기 구조의 수직면 상에 측벽 퇴적부가 형성되게 하는 등각막 퇴적 단계로서, 상기 등각막의 재료는, 특정 에칭 화학작용(etch chemistry)으로 상기 하부 재료층으로부터의 재료와 상기 등각막을 둘다 에칭할 수 있는 것이 선택되는 것인, 상기 등각막 퇴적 단계와,
    상기 등각막의 측벽 퇴적부 사이의 위치에서 상기 타겟층 표면의 일부로부터 상기 등각막이 적어도 제거되도록 상기 등각막을 에칭하는 단계와,
    상기 등각막의 측벽 퇴적부 사이에 형성된 공간을 적어도 부분적으로 충전하는 충전 재료를 퇴적하는 단계와,
    상기 등각막의 재료, 상기 상부 재료층, 그리고 상기 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 상기 평탄화 정지 재료층의 상면에 평면이 형성되도록 상기 기판을 평탄화하는 단계
    를 포함하는 기판 패터닝 방법.
  2. 제1항에 있어서, 상기 등각막의 잔여부를 에칭하는 단계를 더 포함하는 기판 패터닝 방법.
  3. 제2항에 있어서, 상기 평탄화 정지 재료층 및 상기 충전 재료에 의해 형성된 패턴을 상기 타겟층에 전사하는 단계를 더 포함하는 기판 패터닝 방법.
  4. 제3항에 있어서, 상기 패턴을 전사하는 단계는, 상기 충전 재료 및 상기 평탄화 정지 재료층이 패턴을 타겟층에 전사하기 위한 마스크로서 이용되도록 상기 충전 재료 및 상기 평탄화 정지 재료층을 에칭하지 않고서 등각막 재료를 에칭하는 비등방성 에칭 공정을 실행하는 단계를 포함하는 것인 기판 패터닝 방법.
  5. 제1항에 있어서, 상기 충전 재료를 퇴적하는 단계는 상기 평탄화 정지 재료층의 상면을 덮기에 충분한 재료를 퇴적하는 단계를 포함하는 것인 기판 패터닝 방법.
  6. 제1항에 있어서, 상기 등각막 퇴적 단계는 상기 등각막을 ALD(atomic layer deposition)을 통해 퇴적하는 단계를 포함하는 것인 기판 패터닝 방법.
  7. 제1항에 있어서, 상기 상부 재료층은 상기 평탄화 정지 재료층과는 그 각 층에 있어서 조성이 상이한 것인 기판 패터닝 방법.
  8. 제7항에 있어서, 상기 상부 재료층은, 화학적 기계 연마에 의한 상기 평탄화 정지 재료층의 제거율(removal rate)보다 10배 더 높은 레이트로 화학적 기계 연마로부터 상기 상부 재료층이 제거된다는 점에서 상기 평탄화 정지 재료층과는 상이한 것인 기판 패터닝 방법.
  9. 제1항에 있어서,
    상기 평탄화 정지 재료층 및 상기 충전 재료의 잔여부를 제거하는 단계와,
    상기 등각막 재료에 의해 형성된 패턴을 상기 타겟층에 전사하는 단계
    를 더 포함하는 기판 패터닝 방법.
  10. 평탄화를 위해 기판을 패터닝하는 방법에 있어서,
    타겟층 상에 위치한 릴리프 패턴의 구조를 구비한 기판을 제공하는 단계로서, 상기 릴리프 패턴의 구조 내의 구조는 상기 타겟층 상에 배치된 하부 재료층과, 상기 하부 재료층 상에 배치된 평탄화 정지 재료층과, 상기 평탄화 정지 재료층 상에 배치된 상부 재료층을 포함한 적어도 3개의 재료층을 포함하고, 상기 평탄화 정지 재료층은 상기 상부 재료층 및 상기 하부 재료층과는 상이한 것인 상기 기판 제공 단계와,
    상기 타겟층의 노출면 상에 그리고 상기 구조의 노출면 상에 등각막을 퇴적하여 상기 구조의 수직면 상에 측벽 퇴적부가 형성되게 하는 등각막 퇴적 단계로서, 상기 등각막의 재료는, 특정 에칭 화학작용(etch chemistry)으로 상기 하부 재료층으로부터의 재료와 상기 등각막을 둘다 에칭할 수 있는 것이 선택되는 것인 상기 등각막 퇴적 단계와,
    상기 등각막의 측벽 퇴적부 사이의 위치에서 상기 타겟층 표면으로부터 상기 등각막이 제거되도록 상기 등각막을 비등성으로 에칭하는 단계와,
    상기 등각막의 측벽 퇴적부 사이에 형성된 공간을 충전하는 충전 재료를 퇴적하는 단계와,
    상기 등각막 재료, 상기 상부 재료층, 그리고 상기 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 평면이 형성되도록 상기 기판을 평탄화하는 단계
    를 포함하는 기판 패터닝 방법.
  11. 제10항에 있어서, 상기 등각막의 잔여부를 에칭하는 단계를 더 포함하는 기판 패터닝 방법.
  12. 제10항에 있어서, 상기 평탄화 정지 재료층 및 상기 충전 재료에 의해 형성된 패턴을 상기 타겟층에 전사하는 단계를 더 포함하는 기판 패터닝 방법.
  13. 제12항에 있어서, 상기 패턴을 전사하는 단계는, 상기 충전 재료 및 상기 평탄화 정지 재료층이 패턴을 타겟층에 전사하기 위한 마스크로서 이용되도록 상기 충전 재료 및 상기 평탄화 정지 재료층을 에칭하지 않고서 등각막 재료를 에칭하는 비등방성 에칭 공정을 실행하는 단계를 포함하는 것인 기판 패터닝 방법.
  14. 제10항에 있어서, 상기 상부 재료층은 상기 평탄화 정지 재료층과는 그 각 층에 있어서 조성이 상이한 것인 기판 패터닝 방법.
  15. 제14항에 있어서, 상기 상부 재료층은, 화학적 기계 연마에 의한 상기 평탄화 정지 재료층의 제거율보다 10배 더 높은 레이트로 화학적 기계 연마로부터 상기 상부 재료층이 제거된다는 점에서 상기 평탄화 정지 재료층과는 상이한 것인 기판 패터닝 방법.
  16. 제10항에 있어서, 상기 기판 제공 단계는,
    상기 타겟층 상에 상기 하부 재료층을 퇴적하는 단계와,
    상기 하부 재료층 상에 평탄화 정지 재료층을 퇴적하는 단계와,
    상기 평탄화 정지 재료층 상에 상부 재료층을 퇴적하는 단계와,
    상기 상부 재료층, 상기 평탄화 정지 재료층, 및 상기 하부 재료층에 패턴을 에칭하여 상기 릴리프 패턴의 구조를 생성하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  17. 평탄화를 위해 기판을 패터닝하는 방법에 있어서,
    타겟층 상에 위치한 릴리프 패턴의 구조를 구비한 기판을 제공하는 단계로서, 상기 릴리프 패턴의 구조 내의 구조는 상기 타겟층 상에 배치된 하부 재료층과, 상기 하부 재료층 상에 배치된 평탄화 정지 재료층과, 상기 평탄화 정지 재료층 상에 배치된 상부 재료층을 포함한 적어도 3개의 재료층을 포함하고, 상기 평탄화 정지 재료층은 상기 상부 재료층 및 상기 하부 재료층과는 상이한 것인 상기 기판 제공 단계와,
    상기 타겟층의 노출면 상에 그리고 상기 구조의 노출면 상에 등각막을 퇴적하여 상기 구조의 수직면 상에 측벽 퇴적부가 형성되게 하는 등각막 퇴적 단계로서, 상기 등각막의 재료는, 특정 에칭 화학작용으로 상기 하부 재료층으로부터의 재료와 상기 등각막을 둘다 에칭할 수 있는 것이 선택되는 것인 상기 등각막 퇴적 단계와,
    상기 등각막의 측벽 퇴적부 사이에 형성된 공간을 충전하는 충전 재료를 퇴적하는 단계와,
    상기 등각막의 재료, 상기 상부 재료층, 그리고 상기 평탄화 정지 재료층의 상면 위의 충전 재료를 제거하여 평면이 형성되도록 상기 기판을 평탄화하는 단계
    를 포함하는 기판 패터닝 방법.
  18. 제17항에 있어서, 상기 등각막의 잔여부를 에칭하는 단계를 더 포함하는 기판 패터닝 방법.
  19. 제18항에 있어서, 상기 평탄화 정지 재료층 및 상기 충전 재료에 의해 형성된 패턴을 상기 타겟층에 전사하는 단계를 더 포함하는 기판 패터닝 방법.
  20. 제19항에 있어서, 상기 상부 재료층은 화학적 기계 연마에 의한 상기 평탄화 정지 재료층의 제거율보다 10배 더 높은 레이트로 화학적 기계 연마로부터 제거된다는 점에서 상기 평탄화 정지 재료층과는 상이한 것인 기판 패터닝 방법.
KR1020167024306A 2014-02-23 2015-02-17 평탄화를 위해 기판을 패터닝하는 방법 KR101860251B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461943486P 2014-02-23 2014-02-23
US201461943483P 2014-02-23 2014-02-23
US61/943,483 2014-02-23
US61/943,486 2014-02-23
PCT/US2015/016164 WO2015126829A1 (en) 2014-02-23 2015-02-17 Method for patterning a substrate for planarization

Publications (2)

Publication Number Publication Date
KR20160117579A KR20160117579A (ko) 2016-10-10
KR101860251B1 true KR101860251B1 (ko) 2018-05-21

Family

ID=53878877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167024306A KR101860251B1 (ko) 2014-02-23 2015-02-17 평탄화를 위해 기판을 패터닝하는 방법

Country Status (3)

Country Link
US (1) US9437447B2 (ko)
KR (1) KR101860251B1 (ko)
WO (1) WO2015126829A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021167809A1 (en) * 2020-02-21 2021-08-26 Lam Research Corporation Core removal

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI545622B (zh) * 2014-02-23 2016-08-11 東京威力科創股份有限公司 藉由交叉多重圖案化層以增加圖案密度的方法
US9362169B2 (en) 2014-05-01 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned semiconductor fabrication with fosse features
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US10332744B2 (en) * 2016-04-29 2019-06-25 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
JP6272949B2 (ja) * 2016-06-06 2018-01-31 東京エレクトロン株式会社 パターン形成方法
TW201830517A (zh) * 2016-11-16 2018-08-16 日商東京威力科創股份有限公司 用於多重圖案化程序之硬遮罩過蝕刻的調節方法
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
CN110391133B (zh) * 2018-04-17 2021-07-20 联华电子股份有限公司 图案化方法
US11227767B2 (en) 2018-05-03 2022-01-18 Tokyo Electron Limited Critical dimension trimming method designed to minimize line width roughness and line edge roughness
US10950442B2 (en) * 2018-07-06 2021-03-16 Tokyo Electron Limited Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
CN111627801B (zh) * 2019-02-28 2023-08-01 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US10923363B1 (en) * 2019-08-02 2021-02-16 Tokyo Electron Limited Method for increasing pattern density on a wafer
US11854806B2 (en) 2020-05-22 2023-12-26 Tokyo Electron Limited Method for pattern reduction using a staircase spacer
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744683B1 (ko) * 2006-02-27 2007-08-01 주식회사 하이닉스반도체 반도체 소자 제조 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US20090200266A1 (en) * 2008-02-08 2009-08-13 Molecular Imprints, Inc. Template Pillar Formation
US7972959B2 (en) * 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8222140B2 (en) * 2009-12-23 2012-07-17 Intel Corporation Pitch division patterning techniques

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744683B1 (ko) * 2006-02-27 2007-08-01 주식회사 하이닉스반도체 반도체 소자 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021167809A1 (en) * 2020-02-21 2021-08-26 Lam Research Corporation Core removal

Also Published As

Publication number Publication date
KR20160117579A (ko) 2016-10-10
US20150243519A1 (en) 2015-08-27
US9437447B2 (en) 2016-09-06
WO2015126829A1 (en) 2015-08-27

Similar Documents

Publication Publication Date Title
KR101860251B1 (ko) 평탄화를 위해 기판을 패터닝하는 방법
KR101860249B1 (ko) 다수의 패터닝된 층을 교차시켜 패턴 밀도를 증가시키는 방법
TWI622861B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
TWI625764B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
TWI633583B (zh) 形成記憶體fin圖案的方法與系統
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
TWI721231B (zh) 次解析度基板圖案化方法
TWI545618B (zh) 用於平坦化之基板圖案化方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant