WO2021029976A1 - Method for pitch split patterning using sidewall image transfer - Google Patents

Method for pitch split patterning using sidewall image transfer Download PDF

Info

Publication number
WO2021029976A1
WO2021029976A1 PCT/US2020/040226 US2020040226W WO2021029976A1 WO 2021029976 A1 WO2021029976 A1 WO 2021029976A1 US 2020040226 W US2020040226 W US 2020040226W WO 2021029976 A1 WO2021029976 A1 WO 2021029976A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
forming
coating layer
reflective coating
protective film
Prior art date
Application number
PCT/US2020/040226
Other languages
French (fr)
Inventor
Akiteru Ko
Richard Farrell
Original Assignee
Tokyo Electron Limited
Tokyo Electron U.S. Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron U.S. Holdings, Inc. filed Critical Tokyo Electron Limited
Publication of WO2021029976A1 publication Critical patent/WO2021029976A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Definitions

  • the present invention relates generally to methods for patterning a layer on a substrate, which includes, in particular, patterning of semiconductor devices.
  • a semiconductor device such as an integrated circuit (IC) is fabricated by sequentially depositing and patterning layers of dielectric, conductive, and semiconductor materials over a semiconductor substrate to form a network of electronic components and interconnect elements (e.g., transistors, resistors, capacitors, metal lines, contacts, and vias) integrated in a monolithic structure.
  • interconnect elements e.g., transistors, resistors, capacitors, metal lines, contacts, and vias
  • the various layers are generally patterned using a photolithography process. The substrate is first coated with a photoresist, and then exposed to a pattern of actinic radiation using a photolithography system.
  • a relief pattern is developed using a solvent that removes a portion of the photoresist layer.
  • the patterned photoresist is the etch mask used to transfer the pattern to underlying target layers.
  • the minimum feature size would be roughly the optical resolution of the photolithography system.
  • Methods for improving the resolution limit of a photolithography system comprise using a shorter wavelength radiation source, for example, 193 nm ArF laser instead of 248 nm KrF laser, and increasing the numerical aperture (NA) by immersion photolithography, wherein the air in the region between the substrate and the system of lenses in the photolithography system is replaced by a medium with a higher refractive index such as water.
  • NA numerical aperture
  • 193 nm immersion photolithography has been extended to create patterned layers having features at a pitch less than 30 nm.
  • the target pattern may be split into two patterns, each having features at a pitch within the optical resolution limit. These two patterns may be combined to form a patterned mask having features at a sub-resolution pitch.
  • the concern of overlay error in combining two patterns has led to the development of self-aligned pitch splitting techniques such as sidewall image transfer (SIT).
  • SADP-SIT sidewall image transfer
  • SADP-SIT self-aligned double patterning with SIT
  • SADP-SIT uses the optical system just once to print a photoresist mask with features at the optical resolution limit. Then the SIT technique is used to form a layer with double the feature density.
  • a sacrificial mandrel layer is patterned at the minimum pitch and sidewall spacers are formed around the mandrels.
  • the mandrels are then removed selectively to leave a pattern of spacers at one-half the pitch of the resolution limit for the photolithography system.
  • the half-pitch spacer pattern may be used as a masking layer to transfer the pattern to one or more underlying layers.
  • This SADP-SIT process may also be repeated for self-aligned quadruple patterning (SAQP) to generate another spacer pattern at a quarter of the minimum pitch for the photolithography system.
  • SAQP self-aligned quadruple patterning
  • the additional processing cost incurred with using multiple patterning to pattern a target layer may be a tolerable penalty for a semiconductor IC fabrication process flow in which sub-resolution features are needed at one or two critical levels.
  • techniques such as pitch splitting patterning using SIT are applied at an increasing number of patterning levels, innovative methods are needed to reduce the manufacturing cost.
  • a method of patterning a substrate includes depositing an anti-reflective coating layer on a layer stack on a substrate and depositing a layer of photoresist on the substrate subsequent to depositing the anti-reflective coating layer.
  • the method includes forming a latent pattern within the layer of photoresist by exposing the layer of photoresist to a pattern of actinic radiation, developing the layer of photoresist resulting in a relief pattern that includes mandrels, and transferring the relief pattern into the anti-reflective coating layer using a directional etch process.
  • the antireflective coating layer resulting in the relief pattern that includes mandrels.
  • the method includes removing the layer of photoresist from the substrate, forming sidewall spacers on mandrels formed from the anti-reflective coating layer, removing the mandrels formed from the anti-reflective coating layer while leaving the sidewall spacers on the substrate, and transferring a pattern defined by the sidewall spacers into one or more underlying layers.
  • a method of forming a device includes forming a hard mask layer over an underlying layer of a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the antireflective coating layer, and forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask.
  • the method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate.
  • Figure 1 is a flow diagram of a pitch splitting, self-aligned double patterning process using sidewall image transfer, in accordance with an embodiment of the invention
  • Figures 2A-2D illustrate cross-sectional views of a semiconductor device at various intermediate stages of a hypothetical pitch splitting, self-aligned double patterning process flow using sidewall image transfer;
  • Figure 2E illustrates a planar view of a semiconductor device at an intermediate stage of a hypothetical pitch splitting, self-aligned double patterning process flow using sidewall image transfer, shown in the cross-sectional view illustrated in Figure 2D;
  • Figures 3A-3C, 4-5, 6A-6C, and 7 illustrate cross-sectional views of a semiconductor device at various intermediate stages of a pitch splitting, self-aligned double patterning process flow using sidewall image transfer, in accordance with an embodiment of the invention, wherein Figure 3A illustrates an incoming wafer with stacked layers, Figures
  • 3B and 3C illustrates the wafer after undergoing pre-lithography process steps, wherein
  • Figure 4 illustrates the wafer after forming a photoresist relief pattern over the protected organic BARC layer
  • Figure 5 illustrates the wafer after forming a patterned mandrel layer after the BARC patterning steps
  • Figures 6A-6C illustrate the wafer during processing steps used to form a half-pitch spacer pattern
  • Figure 7 illustrates the wafer after the pattern transfer process.
  • This disclosure describes a pitch splitting, self-aligned double patterning (SADP) process flow utilizing innovative sidewall image transfer (SIT) methods.
  • the methods are equally applicable to other multiple patterning processes using SIT; for example, self-aligned quadruple patterning (SAQP) process using SIT.
  • SIT sidewall image transfer
  • SAQP self-aligned quadruple patterning
  • SADP-SIT/SADP-SIT used in IC fabrication add process steps and complexity in order to enable patterning of layers with sub-resolution features; for example, an array of parallel lines printed at a pitch that is one-half of the minimum pitch of the photolithography system using, for example, SADP-SIT, or one-quarter of the minimum pitch of the photolithography system using, for example, SAQP with SIT.
  • the SIT technique generally comprises first patterning an array of mandrels arranged in a pitch at the resolution limit of the photolithography system, followed by forming self-aligned spacers on the sidewalls of the mandrels, and then selectively pulling the mandrels, thereby printing an array of spacers with double the number of lines than the number of mandrel lines in the initial array from which the spacer pattern was formed.
  • the spacer pattern has a pitch that is one-half times the minimum pitch of the photolithography system. Repeating this process would quadruple the pattern density and provide a new spacer pattern achieving one-quarter times the minimum pitch of the photolithography system.
  • SADP-SIT processes relative to the reference low-cost SADP-SIT process are equivalent to what may otherwise be achieved at a higher processing cost.
  • the extra cost would be associated with adding a layer to be used as a dedicated mandrel layer in the more expensive SADP-SIT process flow.
  • FIG. 1 illustrates a flow diagram that describes a process flow 100 used in the embodiments of the SADP-SIT processes described in this disclosure.
  • the process flow 100 starts with receiving an incoming substrate, for example, a semiconductor wafer with stacked layers, as indicated in block 110.
  • the topmost layer of the incoming substrate is included among the layers patterned using the half-pitch spacer pattern to be formed over the incoming substrate during execution of the SADP-SIT process flow 100.
  • patterning layers comprise using the photolithography process, wherein the process starts by coating a surface with a photoresist layer.
  • the photoresist is exposed to a pattern of actinic radiation and a relief pattern is developed with a solvent.
  • reflections of the incident radiation from interfaces underlying the photoresist may cause interference patterns within the photoresist that degrade the pattern quality of the relief pattern by exacerbating undesirable phenomena such as LER and reflective notching.
  • One method for reducing the impact of interference patterns is to suppress the reflections using an anti-reflective coating.
  • an anti-reflective coating is formed on the top surface of the incoming substrate, as indicated by box 122 in the pre-photolithography block 120 of the process flow 100. Since the ARC would be below the photoresist, it is referred to as a bottom ARC (BARC).
  • BARC bottom ARC
  • both inorganic and organic films may perform adequately in suppressing reflections when used as the BARC layer in the process flow 100.
  • organic BARC provides several advantages over inorganic BARC.
  • the anti-reflective property of inorganic BARC arises from destructive interference of radiation reflected from the top and bottom surfaces of the BARC, whereas organic BARC works by simply absorbing the radiation. Thus, the film thickness control may be relaxed for organic BARC layers.
  • Inorganic BARC is generally deposited using, for example, chemical vapor deposition (CVD).
  • organic BARC may be a flowable material spin-coated on the substrate in the track system; thus avoiding the complexity of transferring wafers between the track system and the CVD tool.
  • Spin-coating provides the added benefit of improving the planarity of the surface. Improved planarity is advantageous for patterning with a high NA optical system (e.g., a 193 nm ArF immersion photolithography system) that naturally has a low depth-of-focus.
  • a track system may lower the manufacturing cost of patterning by assembling a series of process modules used to perform many of the non-optical steps in photolithography. Wafers may be transported between modules for automated sequential processing using robotic and belt-handling.
  • the equipment set in a sequential track system may comprise, for example, coater modules for spin-coating wafers with liquids such as photoresist, spin-on glass (SOG), organic BARC and spin-on carbon (SOC); a small vacuum chamber for conformal deposition of, for example, hexamethyldisilazane (HMDS), a common adhesion promoter; ovens suitable for resist bake; a developer module for relief etching photoresist exposed to radiation; and a cleaning unit equipped with brushes and pressurized water jets.
  • liquids such as photoresist, spin-on glass (SOG), organic BARC and spin-on carbon (SOC)
  • a small vacuum chamber for conformal deposition of, for example, hexamethyldisilazane (HMDS), a common adhesion promoter
  • ovens suitable for resist bake a developer module for relief etching photoresist exposed to radiation
  • a cleaning unit equipped with brushes and pressurized water jets equipped with brushes and pressurized water
  • the BARC layer is used subsequently as the mandrel layer.
  • a thin protective film may be formed over the top surface of the BARC, as indicated in box 124 of the pre-lithography block 120.
  • the thin protective film helps reduce sidewall tapering and corner rounding caused by damage during subsequent plasma enhanced deposition of spacer material. Since inorganic BARC is generally more resistant to plasma damage, a protection layer may be unnecessary.
  • a photolithography process (block 130) may be performed using, for example, a 193 nm ArF immersion photolithography system or a 13.5 nm extreme ultraviolet (EUV) lithography.
  • EUV extreme ultraviolet
  • the top surfaces of a batch of semiconductor wafers may be spin- coated by photoresist in the coating module of a track system and subsequently moved to an optical system, for example, a projection scanner.
  • the photoresist is exposed to an actinic radiation pattern in the scanner.
  • the scanner shines a laser beam on a reticle patterned with opaque features.
  • a portion of the pattern may be projected onto a coated wafer using a lens system.
  • a step-and-scan technique is used to expose the wafer surface to the radiation pattern. After the reticle and the wafer are aligned, either one or both may be moved synchronously to scan one complete field of the pattern over an area of the wafer. Being located on opposite sides of the lens, the reticle and the wafer may move in opposite directions. After scanning one field, the wafer is stepped to bring a nearby unexposed area below the lens, and the scanning process is repeated.
  • the wafer may be returned to the track system to develop the relief pattern in the developer module using a photoresist solvent.
  • the relief etch would remove photosensitive material from areas unexposed to radiation and vice versa for a negative photoresist, leaving behind a photoresist pattern on the surface.
  • SADP-SIT process flow e.g., process flow
  • the pattern comprises photoresist features at the minimum pitch of the photolithography system if the pitch split patterning is used to print a half-pitch pattern on the target layer.
  • the photolithography block 130 may include additional process steps such as pre-exposure and/or post-exposure photoresist bake performed in the track system.
  • the patterned photoresist layer would be the etch mask in a directional etch process used to transfer the photoresist pattern to a sacrificial dedicated mandrel layer in the substrate below the photoresist and
  • the BARC doubles as the mandrel layer.
  • the process flow 100 eliminates the cost of a dedicated mandrel layer. This cost reduction can be achieved without degrading the pattern quality of the target layer.
  • the dedicated mandrel layer may be eliminated if the photoresist itself is the mandrel layer.
  • the photoresist mandrels may deform during subsequent processing, which results in a degraded half-pitch pattern transferred to the target layers, as described below with reference to Figures 2A-2E.
  • the mandrel layer is patterned in block 140 of the process flow 100.
  • a directional etch process is performed to transfer the photoresist pattern to the underlying
  • the patterned BARC layer is used as the sacrificial mandrel layer for forming the half-pitch spacer pattern of the SIT process in process flow 100.
  • selfaligned spacers are formed on the sidewalls of the mandrels.
  • the self-aligned spacer process in box 152 may comprise a conformal deposition step followed by a directional etch step.
  • a layer of spacer material is formed conformally covering the surface of the wafer, including the sidewalls, the top thin protective film, and the floor between mandrels.
  • the spacer material is then selectively removed from over the top surfaces of the mandrels and the floors of the spaces between mandrels using a directional spacer etch process that leaves the spacer material substantially in place along the sidewalls of mandrels.
  • the spacer etch may also remove the thin protective film, thereby exposing the top surface of the organic BARC layer along with the top surface of the topmost layer of the substrate between the bases of the mandrels.
  • the mandrel-pull etch removes the mandrels selectively to leave behind a pattern comprising spacers formed over the topmost layer of the incoming substrate of the process flow 100. Since spacers have been formed on each pair of opposing sidewalls of a mandrel, the features in the spacer pattern are at half the pitch of the mandrel pattern. [0030]
  • the half-pitch spacer pattern formed in block 150 is used in block 160 as a masking layer to perform an etch that transfers the half-pitch spacer pattern to one or more underlying layers in the substrate below the spacers.
  • the process flow 100 eliminates the cost of a dedicated mandrel layer by reusing the BARC as the mandrel layer.
  • the dedicated mandrel layer may be eliminated if the patterned photoresist itself is the mandrel layer, as illustrated in Figures 2A-2E.
  • Figure 2A illustrates a patterned photoresist layer 200 and a BARC layer 202 over a substrate 225.
  • the patterned photoresist layer 200 is the mandrel layer for the respective SADP-SIT process.
  • the topmost layer 210 of the substrate 225 is one of the target layers to be patterned subsequently using a half-pitch spacer pattern.
  • Other layers of the substrate 225 are collectively shown as the bottom layer 220. As illustrated in
  • the example mandrel in the patterned photoresist layer 200 has near-vertical sidewalls with rounded edges near the top and a small foot near the base.
  • a spacer layer 230 has been formed conformally over the top surface of the exposed BARC layer 202 and the patterned photoresist layer 200.
  • the conformal deposition process may be performed at a low temperature to avoid damaging the photoresist pattern.
  • the processing temperature may not exceed a specified maximum temperature for the photoresist.
  • Conformal deposition at low temperatures is usually done using a plasma enhanced deposition process.
  • the photoresist mandrels may be deformed by collisions and chemical reactions with energetic ions and radicals (e.g., argon ions and oxygen radicals) present in the plasma.
  • the plasma damage may be higher near the top edges of the patterned photoresist layer 200.
  • mechanical stress in the spacer layer may squeeze the lines of the patterned photoresist layer 200, resulting in mandrels with tapered sidewalls, as illustrated in Figure
  • Figure 2C illustrates the structure of Figure 2B after a directional etch has been performed to remove portions of the spacer layer 230 selectively from over the roughly flat top surfaces of the patterned photoresist layer 200 and the BARC layer 202, forming sidewall spacers 240.
  • the edge profiles of the spacers 240 reflect the tapered sidewall profiles of the respective mandrels in the patterned photoresist layer 200.
  • the patterned photoresist layer 200 has been selectively removed by a suitable mandrel pull etch process, leaving spaces 250 in the region occupied by the mandrels.
  • a half-pitch spacer pattern comprising freestanding spacers 240 may remain over the BARC layer 202.
  • the edges of a pair of freestanding spacers 240 reflect the edges of the respective mandrel that was separated from the pair. As illustrated in Figure 2D, the spacers 240 remain tilted. When the leaning spacers are used as the etch mask, etch shadowing due to the tilt may broaden the average linewidth and reduce the edge sharpness of the respective feature in the target layer. Also, tilted structures with a high aspect ratio generally have reduced mechanical stability. This may increase the number of patterning defects in the target layer caused by random excessive tilting or toppling of spacers 240. [0036]
  • the top-down planar view in Figure 2E corresponds to the cross-sectional view in
  • BARC layer 202 is visible in the top-down view in Figure 2E everywhere outside the spacers 240.
  • the line-shape of the spacers 240 indicates that the plasma damage during conformal deposition the spacer layer 230 in Figure 2B may have roughened the sidewalls of mandrels in the patterned photoresist layer 200.
  • the increased taper and roughness of the mandrel during deposition of the spacer layer 230 in Figure 2B may propagate down the process flow to degrade several pattern quality metrics of the spacer pattern after mandrel pull in Figures
  • a poorly controlled tilt angle in the patterned photoresist layer 200 degrades CD control of the spacer pattern comprising freestanding spacers 240 (in Figure
  • Photoresists generally comprise soft deformable materials that may be easily damaged by ion sputtering and oxidized by oxygen radicals.
  • the tapering deformation and surface roughness enhancement of photoresist mandrels, described above, may be avoided by first transferring the photoresist pattern to a dedicated mandrel layer comprising materials that are stiffer and more resistant to plasma damage. The deposition and removal of the added dedicated mandrel layer adds cost to the IC fabrication process flow.
  • process flow 100 (illustrated by the flow diagram in Figure
  • organic BARG provides several advantages over inorganic BARG. Accordingly, an organic BARG layer has been used in the example embodiment for the SADP-SIT process flow 100, described below with reference to Figures 3A-7. In comparison to photoresist, organic BARG is more resistant to plasma damage and oxidation. Although carbon in the organic polymers in organic BARG may react with oxygen during a subsequent spacer deposition process step (to form, e.g. gaseous oxides of carbon), the damage to organic BARC mandrels may be tolerable.
  • Organic BARC is usually not as stiff as inorganic BARC, and may not be immune to oxidation when exposed to oxygen plasma.
  • a thin protective film may be formed over the top surface of the organic BARC prior to coating the photoresist material.
  • the plasma damage and tapering deformation of the organic BARC during spacer layer deposition may be diminished to an acceptable level.
  • Figure 3A illustrates an incoming wafer 3000 with stacked layers, as described in block 110 of process flow 100 in Figure 1.
  • the target layer 320 for the half-pitch pattern of the example SADP-SIT process flow 100 is the topmost layer of the incoming wafer 3000. All other layers are collectively shown as layer 310.
  • Target layer 320 comprises a primary layer
  • the primary layer 322 may comprise, for example, spin-on carbon (SOC), or materials such as amorphous carbon formed using CVD, having a thickness of about 30 nm to about 200 nm.
  • SOC spin-on carbon
  • CVD chemical vapor deposition
  • the cover layer 324 may comprise, for example, SOG, or materials formed using CVD such as silicon oxynitride (SiO x N y ), silicon dioxide, silicon carbide, and metal oxide having a thickness of about 5 nm to about 35 nm.
  • Figures 3B and 3C illustrate the pre-lithography process steps indicated in block 120 of the SADP-SIT process flow 100, illustrated in Figure 1.
  • Figure 3B illustrates a stack of layers 3100 after an organic BARC layer 332 has been formed over the target layer 320 the incoming wafer 3000 (see Figure 3A).
  • the material and thickness of the organic BARC layer 332 may be selected in accordance with the wavelength of the illuminating laser, the reflectivity of the substrate below the BARC, and the thickness and optical properties of the photoresist used.
  • the organic BARC layer 332 may be selected in accordance with the wavelength of the illuminating laser, the reflectivity of the substrate below the BARC, and the thickness and optical properties of the photoresist used.
  • the organic BARC layer 332 may be selected in accordance with the wavelength of the illuminating laser, the reflectivity of the substrate below the BARC, and the thickness and optical properties of the photoresist used.
  • the organic BARC layer 332 may be selected in accordance with the wavelength of the illuminating laser, the reflectivity of the substrate below the BARC, and the thickness and optical properties of the photoresist used.
  • BARC layer 332 may be about 25 nm to about 100 nm thick.
  • Organic films, such as the organic BARC layer 332 in Figures 3B, may be hardened by curing processes (e.g., plasma treatment, heat treatment, and ultra-violet radiation treatment) that enable cross-linking of carbon- to-carbon bonds.
  • a protective film 334 may be optionally formed over the organic BARC layer 332 thereby forming the protected organic BARC layer 320.
  • the thin protective film may comprise different materials, depending on the deposition process used and the stage of the process flow, the same numeral 334 has been used in this document for the sake of simplicity.
  • the forming the protective film 334 may be omitted.
  • the protective film 334 protects the top corners and edges from plasma damage in a subsequent plasma deposition step used to form a spacer layer.
  • the protective film 334 may be less than 5 nm thick, and comprise a relatively stiff inorganic material that may be removed during an overetch portion of a subsequent spacer etch process.
  • the protective film 334 may be formed using any suitable deposition technique, such as physical vapor deposition (PVD), sputter deposition, atomic layer deposition (ALD), plasma-enhanced ALD (PEALD), chemical vapor deposition
  • CVD plasma-enhanced CVD
  • PECVD plasma-enhanced CVD
  • spin coating spin coating
  • direct current superposition direct current superposition
  • SOG film is first spin-coated over the organic BARC layer 332 forming the thin protective film
  • a DCS plasma treatment is performed after forming the organic BARC layer 332. Subjecting the BARC layer 332 to a DCS plasma treatment provides the advantage of curing the BARC layer 332 and depositing the protective film 334 over the top surface in one process step.
  • the semiconductor wafer is positioned on a conductive substrate holder (not shown) below a top electrode 350 in a capacitively coupled plasma (CCP) plasma chamber.
  • CCP capacitively coupled plasma
  • the top electrode 350 comprises a silicon film 352 at the bottom side of a metal plate 354, and the substrate holder (not shown) in contact with the substrate serves as the bottom electrode.
  • a high voltage DC source, connected to the metal plate 354 provides negative bias to the top electrode 350 relative to the grounded bottom electrode.
  • the electrodes are configured with RF power and possibly
  • RF bias sources to create plasma 344 in the space between the wafer and the top electrode using, for example, an inert gas such as argon or nitrogen, a mixture of inert gases, or a gaseous mixture comprising hydrogen and an inert gas (e.g., a mixture of hydrogen and nitrogen).
  • an inert gas such as argon or nitrogen
  • a mixture of inert gases or a gaseous mixture comprising hydrogen and an inert gas (e.g., a mixture of hydrogen and nitrogen).
  • Positive ions e.g., Ar ions
  • the plasma 344 get attracted by the high negative
  • DC bias (roughly 1 kV), accelerate, and strike the bottom silicon film 352 of top electrode 350 producing secondary electrons and sputtering some silicon.
  • a fraction of the sputtered silicon atoms may deposit on the wafer to form a protective film 334 of silicon on the surface.
  • the silicon in the protective film 334 gets exposed to oxygen (e.g., the oxygen in the air outside the plasma chamber), it oxidizes to silicon oxide.
  • the silicon oxide film then serves as the protective film 334 during a subsequent plasma deposition of the spacer layer, as mentioned above.
  • the negatively charged secondary electrons are repelled and accelerated downward toward the bottom electrode, creating a flux of ballistic electrons 340, as indicated by the arrows in Figure 3C. Aided by the high energy acquired from the high negative DC bias, the ballistic electrons 340 may easily pass through the plasma and the sheath to penetrate at least a hundred nanometers into the substrate. The curing process occurs as dangling bonds are created via interactions with ballistic electrons 340 during their passage through the organic BARC, enabling the cross-linking of carbon.
  • the structure 3200 comprising the cured organic BARC layer 330 formed over the target layer 320 of the incoming wafer, may be processed through the process steps of the photolithography module, as described above with reference to block 130 in
  • Figure 4 illustrates a structure 4000, wherein a patterned photoresist layer 400 is the photoresist relief pattern formed over the protected organic BARC layer 330.
  • the relief pattern is the result of the photolithography process steps described above with reference to boxes 132, 134, and 136 in block 130 of the SADP-SIT process flow 100.
  • Figure 5 illustrates a structure 5000 showing a patterned mandrel layer 500 formed after the BARC patterning steps described above by block 140 in the flow diagram of the SADP-SIT process flow 100 in Figure 1 have been executed.
  • the patterned mandrel layer 500 comprises the materials of the protected organic BARC layer 330 in Figure 4.
  • the mandrel pattern of the patterned photoresist layer 400 is transferred to the protected organic BARC layer 330 (in Figure 4) by directional etching with an etch chemistry that removes the protected organic BARC layer 330 selectively and stops on the cover layer
  • the directional etching may be, for example, reactive ion etching (RIE) performed using oxygen containing plasma, comprising a gas such as O 2 , CO, CO 2 , SO 2 ,
  • RIE reactive ion etching
  • the mandrels in the patterned mandrel layer 500 comprise the organic BARC layer 502 and the thin protective layer 504. In the examples, illustrated in Figure 5, the thin protective layer
  • the silicon oxide in the thin protective layer comprises silicon oxide.
  • the silicon oxide in the thin protective layer comprises silicon oxide.
  • the silicon oxide in the thin protective layer 504 may be SOG.
  • the silicon oxide in the thin protective layer 504 may be oxidized silicon, where the silicon was deposited during a DCS plasma treatment step, as described above.
  • Figures 6A-6C illustrate the processing steps used to form a half-pitch spacer pattern, as indicated in block 150 in the flow diagram illustrated in Figure 1.
  • self-aligned spacers may be formed on the sidewalls of the patterned mandrel layer
  • Figure 6A illustrates a structure 6000, wherein a spacer layer 600 is formed conformally over the exposed top surface of the cover layer 324, and over the sidewalls and top surfaces of the mandrels in the patterned mandrel layer 500.
  • the conformal deposition process may be performed at a low temperature of about 25 °C to about 200 °C using a plasma-enhanced deposition technique, for example, a PEALD technique.
  • the spacer layer 600 comprises silicon oxide film having a film thickness target of about 5 nm to about 25 nm.
  • the thickness of the spacer layer in an SIT process directly influences the linewidths in the pattern formed in the target layer onto which the half-pitch spacer pattern is to be transferred.
  • the spacer it is advantageous for the spacer to be formed using a technique where the thickness may be precisely controlled, such as in ALD processes.
  • the spacer layer for low temperature deposition and precise thickness control, the spacer layer
  • a PEALD process with a first precursor gas such as bis(tertiary- butylamino)silane (BTBAS), tetradimethyl-aminosilicon (TDM AS).
  • BBAS bis(tertiary- butylamino)silane
  • TDM AS tetradimethyl-aminosilicon
  • PEALD process is an oxidation reaction performed using oxygen plasma.
  • the spacer layer 600 may comprise titanium oxide, aluminum oxide, or tin oxide formed using a low temperature ALD process.
  • the patterned thin protective layer 504 helps reduce plasma damage and prevents deformation of the sidewalls. As illustrated in Figure 6A, the sidewalls remain vertical after the PEALD process is complete.
  • FIG. 6B the spacer layer 600 (in Figure 6A) has been removed selectively from over the flat top surfaces of the patterned mandrel layer 500 and the cover layer 324 between mandrels using a directional etch (e.g., RIE using fluorine chemistry, or chlorine chemistry, or the like) to form a structure 6100.
  • the spacer etch process also removes the thin protective layer 504 comprising silicon oxide or SOG, thereby forming freestanding spacers 610 along the sidewalls of the cured and patterned organic BARC layer 502.
  • the organic BARC layer 502 and the cover layer 324 comprising, for example, SiON, may be etch stop layers for the etch chemistry used to form the freestanding spacers 610.
  • Figure 6C illustrates a structure 6200 formed after a mandrel pull process (box
  • the mandrels comprising the cured and patterned organic
  • BARC layer 502 may be removed, using oxygen plasma.
  • the mandrel pull process may be a wet etch process using a solution of sulfuric acid and hydrogen peroxide, referred to as sulfuric peroxide mix (SPM). Removing the mandrels leaves freestanding spacers 610 and spaces 250, as illustrated in Figure 6C.
  • the half-pitch spacer pattern, comprising freestanding spacers 610 e.g., vertical silicon oxide spacers
  • Figure 7 illustrates a structure 7000 after the pattern transfer process, indicated in block 160 in the SADP-SIT process flow 100 in Figure 1 is complete.
  • the half-pitch spacer pattern comprising freestanding spacers 610, has been transferred to the underlying target layer 320 of the structure 6200 to form the patterned target layer 700.
  • the half-pitch spacer pattern comprising freestanding spacers 610
  • the patterned target layer 700 comprises a patterned cover layer 704 and a patterned primary layer 702.
  • the example embodiment of SADP-SIT process flow 100 described above, achieves a pitch doubling in the pattern comprising the patterned target layer 700, relative to the pitch of the first pattern comprising the patterned photoresist layer 400 (see Figure 4).
  • the pitch-splitting patterning uses the self-aligned SIT technique and may be provided at a reduced cost by using a low-cost organic BARC process for the photolithography module and then reusing the organic BARC layer 502 as the mandrel layer for the freestanding spacers 610, as seen in Figure 6B.
  • High-fidelity pattern transfer has been enabled at the reduced cost by providing a patterned mandrel layer 500 comprising a hardened (by curing) organic BARC layer 502 covered by a thin protective layer 504 (see Figure 6A).
  • Example 1 A method of patterning a substrate includes depositing an anti- reflective coating layer on a layer stack on a substrate and depositing a layer of photoresist on the substrate subsequent to depositing the anti-reflective coating layer.
  • the method includes forming a latent pattern within the layer of photoresist by exposing the layer of photoresist to a pattern of actinic radiation, developing the layer of photoresist resulting in a relief pattern that includes mandrels, and transferring the relief pattern into the anti-reflective coating layer using a directional etch process.
  • the anti-reflective coating layer resulting in the relief pattern that includes mandrels.
  • the method includes removing the layer of photoresist from the substrate, forming sidewall spacers on mandrels formed from the antireflective coating layer, removing the mandrels formed from the anti-reflective coating layer while leaving the sidewall spacers on the substrate, and transferring a pattern defined by the sidewall spacers into one or more underlying layers.
  • Example 2 The method of example 1, further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
  • Example 3 The method of one of examples 1 or 2, where the protective film is deposited via atomic layer deposition.
  • Example 4 The method of one of examples 1 to 3, where the protective film is deposited via chemical vapor deposition.
  • Example 5 The method of one of examples 1 to 4, where the protective film is deposited via sputter deposition.
  • Example 6 The method of one of examples 1 to 5, where the protective film is between one nanometer and five nanometers thick.
  • Example 7 The method of one of examples 1 to 6, where the protective film is a layer of silicon oxide.
  • Example 8 The method of one of examples 1 to 7, further including treating the anti-reflective coating layer with a flux of ballistic electrons prior to depositing the layer of photoresist.
  • Example 9 The method of one of examples 1 to 8, further including cross-linking material of the anti-reflective coating layer prior to depositing the layer of photoresist.
  • Example 10 The method of one of examples 1 to 9, further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
  • Example 11 The method of one of examples 1 to 10, further including hardening material of the anti-reflective coating layer prior to depositing the layer of photoresist.
  • Example 12 The method of one of examples 1 to 11 , further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
  • a method of forming a device includes forming a hard mask layer over an underlying layer of a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the anti-reflective coating layer, and forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask.
  • the method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate.
  • Example 14 The method of example 13, further including, forming a protective film over the anti-reflective coating layer prior to forming the patterned resist layer by direct current superposition.
  • Example 15 The method of one of examples 13 or 14, further including, cross- linking material of the anti-reflective coating layer prior to forming the patterned resist layer.
  • Example 16 The method of one of examples 13 to 15, further including forming a protective film over the anti-reflective coating layer by a coating process, spin-coating process, an atomic layer deposition process, a chemical vapor deposition process, or a sputter deposition process.
  • Example 17 The method of one of examples 13 to 16, where forming the mandrel further includes depositing a protective film over the anti-reflective coating layer and patterning the protective film using the patterned resist layer as the etch mask.
  • a method of forming a device includes forming a hard mask layer over a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the anti-reflective coating layer, forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask.
  • the method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and transferring a pattern defined by the freestanding spacer into an underlying layer of the substrate.
  • Example 19 The method of example 18, further including forming a protective film over the anti-reflective coating layer by direct current superposition, where forming the mandrel further includes patterning the protective film using the patterned resist layer as the etch mask.
  • Example 20 The method of one of examples 18 or 19, further including forming a protective film over the anti-reflective coating layer by exposing the anti-reflective coating layer to an electron beam, where forming the mandrel further includes patterning the protective film using the patterned resist layer as the etch mask.

Abstract

A method of forming a device includes forming a hard mask layer over an underlying layer of a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the anti-reflective coating layer, and forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask. The method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate.

Description

METHOD FOR PITCH SPLIT PATTERNING USING SIDEWALL IMAGE TRANSFER
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims the benefit of U.S. Provisional Application No. 62/886,464, filed on August 14, 2019, which application is hereby incorporated herein by reference.
BACKGROUND
[0002] The present invention relates generally to methods for patterning a layer on a substrate, which includes, in particular, patterning of semiconductor devices.
[0003] Generally, a semiconductor device, such as an integrated circuit (IC) is fabricated by sequentially depositing and patterning layers of dielectric, conductive, and semiconductor materials over a semiconductor substrate to form a network of electronic components and interconnect elements (e.g., transistors, resistors, capacitors, metal lines, contacts, and vias) integrated in a monolithic structure. At each successive technology node, the minimum feature sizes are shrunk to reduce cost by roughly doubling the component packing density. [0004] The various layers are generally patterned using a photolithography process. The substrate is first coated with a photoresist, and then exposed to a pattern of actinic radiation using a photolithography system. After exposure, a relief pattern is developed using a solvent that removes a portion of the photoresist layer. In a direct photolithography process the patterned photoresist is the etch mask used to transfer the pattern to underlying target layers. Here, the minimum feature size would be roughly the optical resolution of the photolithography system. Methods for improving the resolution limit of a photolithography system comprise using a shorter wavelength radiation source, for example, 193 nm ArF laser instead of 248 nm KrF laser, and increasing the numerical aperture (NA) by immersion photolithography, wherein the air in the region between the substrate and the system of lenses in the photolithography system is replaced by a medium with a higher refractive index such as water. However, it is extremely challenging to extend the resolution limit of an optical system below 38 nm. [0005] Instead, multiple patterning techniques have been developed to print features at a pitch below the resolution limit of the photolithography system. By using these techniques,
193 nm immersion photolithography has been extended to create patterned layers having features at a pitch less than 30 nm. For example, in a simple double patterning pitch splitting method the target pattern may be split into two patterns, each having features at a pitch within the optical resolution limit. These two patterns may be combined to form a patterned mask having features at a sub-resolution pitch. The concern of overlay error in combining two patterns has led to the development of self-aligned pitch splitting techniques such as sidewall image transfer (SIT). The self-aligned double patterning with SIT (SADP-SIT) process uses the optical system just once to print a photoresist mask with features at the optical resolution limit. Then the SIT technique is used to form a layer with double the feature density. In the SIT technique, a sacrificial mandrel layer is patterned at the minimum pitch and sidewall spacers are formed around the mandrels. The mandrels are then removed selectively to leave a pattern of spacers at one-half the pitch of the resolution limit for the photolithography system. The half-pitch spacer pattern may be used as a masking layer to transfer the pattern to one or more underlying layers. This SADP-SIT process may also be repeated for self-aligned quadruple patterning (SAQP) to generate another spacer pattern at a quarter of the minimum pitch for the photolithography system.
[0006] The additional processing cost incurred with using multiple patterning to pattern a target layer may be a tolerable penalty for a semiconductor IC fabrication process flow in which sub-resolution features are needed at one or two critical levels. As techniques such as pitch splitting patterning using SIT are applied at an increasing number of patterning levels, innovative methods are needed to reduce the manufacturing cost.
SUMMARY [0007] In accordance with an embodiment, a method of patterning a substrate includes depositing an anti-reflective coating layer on a layer stack on a substrate and depositing a layer of photoresist on the substrate subsequent to depositing the anti-reflective coating layer. The method includes forming a latent pattern within the layer of photoresist by exposing the layer of photoresist to a pattern of actinic radiation, developing the layer of photoresist resulting in a relief pattern that includes mandrels, and transferring the relief pattern into the anti-reflective coating layer using a directional etch process. The antireflective coating layer resulting in the relief pattern that includes mandrels. The method includes removing the layer of photoresist from the substrate, forming sidewall spacers on mandrels formed from the anti-reflective coating layer, removing the mandrels formed from the anti-reflective coating layer while leaving the sidewall spacers on the substrate, and transferring a pattern defined by the sidewall spacers into one or more underlying layers. [0008] In accordance with an embodiment, a method of forming a device includes forming a hard mask layer over an underlying layer of a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the antireflective coating layer, and forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask. The method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS [0009] For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
[0010] Figure 1 is a flow diagram of a pitch splitting, self-aligned double patterning process using sidewall image transfer, in accordance with an embodiment of the invention; [0011] Figures 2A-2D illustrate cross-sectional views of a semiconductor device at various intermediate stages of a hypothetical pitch splitting, self-aligned double patterning process flow using sidewall image transfer;
[0012] Figure 2E illustrates a planar view of a semiconductor device at an intermediate stage of a hypothetical pitch splitting, self-aligned double patterning process flow using sidewall image transfer, shown in the cross-sectional view illustrated in Figure 2D; and
[0013] Figures 3A-3C, 4-5, 6A-6C, and 7 illustrate cross-sectional views of a semiconductor device at various intermediate stages of a pitch splitting, self-aligned double patterning process flow using sidewall image transfer, in accordance with an embodiment of the invention, wherein Figure 3A illustrates an incoming wafer with stacked layers, Figures
3B and 3C illustrates the wafer after undergoing pre-lithography process steps, wherein
Figure 4 illustrates the wafer after forming a photoresist relief pattern over the protected organic BARC layer, wherein Figure 5 illustrates the wafer after forming a patterned mandrel layer after the BARC patterning steps, wherein Figures 6A-6C illustrate the wafer during processing steps used to form a half-pitch spacer pattern, and wherein Figure 7 illustrates the wafer after the pattern transfer process.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
[0014] This disclosure describes a pitch splitting, self-aligned double patterning (SADP) process flow utilizing innovative sidewall image transfer (SIT) methods. The methods are equally applicable to other multiple patterning processes using SIT; for example, self-aligned quadruple patterning (SAQP) process using SIT. The embodiments described in this document provide the dual advantage of low processing cost and high fidelity image transfer.
[0015] As known to persons skilled in the art, multiple patterning techniques (e.g.
SADP-SIT/SADP-SIT) used in IC fabrication add process steps and complexity in order to enable patterning of layers with sub-resolution features; for example, an array of parallel lines printed at a pitch that is one-half of the minimum pitch of the photolithography system using, for example, SADP-SIT, or one-quarter of the minimum pitch of the photolithography system using, for example, SAQP with SIT. The SIT technique generally comprises first patterning an array of mandrels arranged in a pitch at the resolution limit of the photolithography system, followed by forming self-aligned spacers on the sidewalls of the mandrels, and then selectively pulling the mandrels, thereby printing an array of spacers with double the number of lines than the number of mandrel lines in the initial array from which the spacer pattern was formed. Accordingly, the spacer pattern has a pitch that is one-half times the minimum pitch of the photolithography system. Repeating this process would quadruple the pattern density and provide a new spacer pattern achieving one-quarter times the minimum pitch of the photolithography system.
[0016] The demand for doubling the packing density at each successive technology node has been driving up the fraction of the total number of patterning levels where multiple patterning (e.g., SADR and SAQP) may be used. The associated extra deposition and etch steps diminishes the benefit of miniaturization by increasing the processing cost per patterning level of the respective IC fabrication process. It is important to contain processing cost, but some cost reduction methods may compromise pattern quality, as explained further below. A low-cost SADP-SIT process that results in a half-pitch pattern with degraded metrics, such as critical dimension (CD) control, linewidth roughness (LWR), and line edge roughness (LER), may not be desirable. The embodiments in this disclosure provide the advantage of reducing processing cost while maintaining the high quality of the half-pitch pattern produced using more expensive SADP-SIT process flows.
[0017] First, an SADP-SIT process flow used for the disclosed embodiments is described with reference to the flow diagram illustrated in Figure 1. Next, using Figures 2A-
2E, an alternative low-cost SADP-SIT process has been described as a reference SADP-SIT process to illustrate the potential of loss in pattern quality with cost reduction, and to underscore the advantage of maintaining pattern quality while reducing cost, as provided by the disclosed embodiments of SADP-SIT processes, which use the process flow described by the flow diagram in Figure 1. The description of the disclosed embodiments, illustrated in
Figures 3A-7, explains that the improvements in patterning metrics of the disclosed low-cost
SADP-SIT processes relative to the reference low-cost SADP-SIT process are equivalent to what may otherwise be achieved at a higher processing cost. The extra cost would be associated with adding a layer to be used as a dedicated mandrel layer in the more expensive SADP-SIT process flow.
[0018] Figure 1 illustrates a flow diagram that describes a process flow 100 used in the embodiments of the SADP-SIT processes described in this disclosure. The process flow 100 starts with receiving an incoming substrate, for example, a semiconductor wafer with stacked layers, as indicated in block 110. In the process flow 100, it is assumed that the topmost layer of the incoming substrate is included among the layers patterned using the half-pitch spacer pattern to be formed over the incoming substrate during execution of the SADP-SIT process flow 100.
[0019] In IC manufacturing, patterning layers comprise using the photolithography process, wherein the process starts by coating a surface with a photoresist layer. The photoresist is exposed to a pattern of actinic radiation and a relief pattern is developed with a solvent. As known to a person skilled in the art, reflections of the incident radiation from interfaces underlying the photoresist may cause interference patterns within the photoresist that degrade the pattern quality of the relief pattern by exacerbating undesirable phenomena such as LER and reflective notching. One method for reducing the impact of interference patterns is to suppress the reflections using an anti-reflective coating. Prior to photolithography, an anti-reflective coating (ARC) is formed on the top surface of the incoming substrate, as indicated by box 122 in the pre-photolithography block 120 of the process flow 100. Since the ARC would be below the photoresist, it is referred to as a bottom ARC (BARC). In general, both inorganic and organic films may perform adequately in suppressing reflections when used as the BARC layer in the process flow 100. However, organic BARC provides several advantages over inorganic BARC. The anti-reflective property of inorganic BARC arises from destructive interference of radiation reflected from the top and bottom surfaces of the BARC, whereas organic BARC works by simply absorbing the radiation. Thus, the film thickness control may be relaxed for organic BARC layers. Inorganic BARC is generally deposited using, for example, chemical vapor deposition (CVD). In contrast, organic BARC may be a flowable material spin-coated on the substrate in the track system; thus avoiding the complexity of transferring wafers between the track system and the CVD tool. Spin-coating provides the added benefit of improving the planarity of the surface. Improved planarity is advantageous for patterning with a high NA optical system (e.g., a 193 nm ArF immersion photolithography system) that naturally has a low depth-of-focus.
[0020] As known to persons skilled in the art, a track system may lower the manufacturing cost of patterning by assembling a series of process modules used to perform many of the non-optical steps in photolithography. Wafers may be transported between modules for automated sequential processing using robotic and belt-handling. The equipment set in a sequential track system may comprise, for example, coater modules for spin-coating wafers with liquids such as photoresist, spin-on glass (SOG), organic BARC and spin-on carbon (SOC); a small vacuum chamber for conformal deposition of, for example, hexamethyldisilazane (HMDS), a common adhesion promoter; ovens suitable for resist bake; a developer module for relief etching photoresist exposed to radiation; and a cleaning unit equipped with brushes and pressurized water jets.
[0021] In the SADP-SIT process flow 100, the BARC layer is used subsequently as the mandrel layer. Thus, in processes using organic BARC, a thin protective film may be formed over the top surface of the BARC, as indicated in box 124 of the pre-lithography block 120.
Examples of processes that may be used to form the thin protective film are described further below in the context of the example embodiments in Figures 3A-7. The thin protective film helps reduce sidewall tapering and corner rounding caused by damage during subsequent plasma enhanced deposition of spacer material. Since inorganic BARC is generally more resistant to plasma damage, a protection layer may be unnecessary.
[0022] As shown in the process flow 100 in Figure 1, after forming the BARC layer and the optional thin protective film is complete, a photolithography process (block 130) may be performed using, for example, a 193 nm ArF immersion photolithography system or a 13.5 nm extreme ultraviolet (EUV) lithography.
[0023] In box 132, the top surfaces of a batch of semiconductor wafers may be spin- coated by photoresist in the coating module of a track system and subsequently moved to an optical system, for example, a projection scanner. As indicated in box 134, the photoresist is exposed to an actinic radiation pattern in the scanner. The scanner shines a laser beam on a reticle patterned with opaque features. A portion of the pattern may be projected onto a coated wafer using a lens system. Generally, a step-and-scan technique is used to expose the wafer surface to the radiation pattern. After the reticle and the wafer are aligned, either one or both may be moved synchronously to scan one complete field of the pattern over an area of the wafer. Being located on opposite sides of the lens, the reticle and the wafer may move in opposite directions. After scanning one field, the wafer is stepped to bring a nearby unexposed area below the lens, and the scanning process is repeated.
[0024] As indicated in box 136 of the lithography block 130, after exposure, the wafer may be returned to the track system to develop the relief pattern in the developer module using a photoresist solvent. For a positive resist, the relief etch would remove photosensitive material from areas unexposed to radiation and vice versa for a negative photoresist, leaving behind a photoresist pattern on the surface. In an SADP-SIT process flow (e.g., process flow
100 in Figure 1) the pattern comprises photoresist features at the minimum pitch of the photolithography system if the pitch split patterning is used to print a half-pitch pattern on the target layer. [0025] Although, not shown explicitly in the flow diagram of the SADP-SIT process flow
100, it is understood that the photolithography block 130 may include additional process steps such as pre-exposure and/or post-exposure photoresist bake performed in the track system. [0026] In a more expensive conventional SADP-SIT process, the patterned photoresist layer would be the etch mask in a directional etch process used to transfer the photoresist pattern to a sacrificial dedicated mandrel layer in the substrate below the photoresist and
BARC layers. In contrast, in the process flow 100, the BARC doubles as the mandrel layer.
By reusing the BARC as the mandrel layer in block 140, the process flow 100 eliminates the cost of a dedicated mandrel layer. This cost reduction can be achieved without degrading the pattern quality of the target layer. In a possible alternative method, the dedicated mandrel layer may be eliminated if the photoresist itself is the mandrel layer. In this alternative approach, the photoresist mandrels may deform during subsequent processing, which results in a degraded half-pitch pattern transferred to the target layers, as described below with reference to Figures 2A-2E.
[0027] The mandrel layer is patterned in block 140 of the process flow 100. In box 142, a directional etch process is performed to transfer the photoresist pattern to the underlying
BARC, for example, an organic BARC with a thin protective film on top. After the etching is complete, the photoresist mask is stripped, as indicated in box 144. [0028] In block 150, the patterned BARC layer is used as the sacrificial mandrel layer for forming the half-pitch spacer pattern of the SIT process in process flow 100. In box 152, selfaligned spacers are formed on the sidewalls of the mandrels. The self-aligned spacer process in box 152 may comprise a conformal deposition step followed by a directional etch step. First, a layer of spacer material is formed conformally covering the surface of the wafer, including the sidewalls, the top thin protective film, and the floor between mandrels. The spacer material is then selectively removed from over the top surfaces of the mandrels and the floors of the spaces between mandrels using a directional spacer etch process that leaves the spacer material substantially in place along the sidewalls of mandrels. The spacer etch may also remove the thin protective film, thereby exposing the top surface of the organic BARC layer along with the top surface of the topmost layer of the substrate between the bases of the mandrels. [0029] In box 154 of block 150 of the process flow 100, a mandrel-pull etch process is performed. The mandrel-pull etch removes the mandrels selectively to leave behind a pattern comprising spacers formed over the topmost layer of the incoming substrate of the process flow 100. Since spacers have been formed on each pair of opposing sidewalls of a mandrel, the features in the spacer pattern are at half the pitch of the mandrel pattern. [0030] The half-pitch spacer pattern formed in block 150 is used in block 160 as a masking layer to perform an etch that transfers the half-pitch spacer pattern to one or more underlying layers in the substrate below the spacers.
[0031] The process flow 100, described above with reference to Figure 1, eliminates the cost of a dedicated mandrel layer by reusing the BARC as the mandrel layer. In a possible alternative method, the dedicated mandrel layer may be eliminated if the patterned photoresist itself is the mandrel layer, as illustrated in Figures 2A-2E.
[0032] Figure 2A illustrates a patterned photoresist layer 200 and a BARC layer 202 over a substrate 225. Here, the patterned photoresist layer 200 is the mandrel layer for the respective SADP-SIT process. In this example, the topmost layer 210 of the substrate 225 is one of the target layers to be patterned subsequently using a half-pitch spacer pattern. Other layers of the substrate 225 are collectively shown as the bottom layer 220. As illustrated in
Figure 2A, the example mandrel in the patterned photoresist layer 200 has near-vertical sidewalls with rounded edges near the top and a small foot near the base.
[0033] In Figure 2B, a spacer layer 230 has been formed conformally over the top surface of the exposed BARC layer 202 and the patterned photoresist layer 200. The conformal deposition process may be performed at a low temperature to avoid damaging the photoresist pattern. The processing temperature may not exceed a specified maximum temperature for the photoresist. Conformal deposition at low temperatures is usually done using a plasma enhanced deposition process. The photoresist mandrels may be deformed by collisions and chemical reactions with energetic ions and radicals (e.g., argon ions and oxygen radicals) present in the plasma. The plasma damage may be higher near the top edges of the patterned photoresist layer 200. Furthermore, since photoresist has low stiffness, mechanical stress in the spacer layer may squeeze the lines of the patterned photoresist layer 200, resulting in mandrels with tapered sidewalls, as illustrated in Figure
2B. [0034] Figure 2C illustrates the structure of Figure 2B after a directional etch has been performed to remove portions of the spacer layer 230 selectively from over the roughly flat top surfaces of the patterned photoresist layer 200 and the BARC layer 202, forming sidewall spacers 240. The edge profiles of the spacers 240 reflect the tapered sidewall profiles of the respective mandrels in the patterned photoresist layer 200. [0035] In Figure 2D, the patterned photoresist layer 200 has been selectively removed by a suitable mandrel pull etch process, leaving spaces 250 in the region occupied by the mandrels. A half-pitch spacer pattern comprising freestanding spacers 240 may remain over the BARC layer 202. The edges of a pair of freestanding spacers 240 reflect the edges of the respective mandrel that was separated from the pair. As illustrated in Figure 2D, the spacers 240 remain tilted. When the leaning spacers are used as the etch mask, etch shadowing due to the tilt may broaden the average linewidth and reduce the edge sharpness of the respective feature in the target layer. Also, tilted structures with a high aspect ratio generally have reduced mechanical stability. This may increase the number of patterning defects in the target layer caused by random excessive tilting or toppling of spacers 240. [0036] The top-down planar view in Figure 2E corresponds to the cross-sectional view in
Figure 2D. Two lines in Figure 2E correspond to the two spacers 240 in Figure 2D. The
BARC layer 202 is visible in the top-down view in Figure 2E everywhere outside the spacers 240. The line-shape of the spacers 240 indicates that the plasma damage during conformal deposition the spacer layer 230 in Figure 2B may have roughened the sidewalls of mandrels in the patterned photoresist layer 200. The increased taper and roughness of the mandrel during deposition of the spacer layer 230 in Figure 2B may propagate down the process flow to degrade several pattern quality metrics of the spacer pattern after mandrel pull in Figures
2D and 2E. A poorly controlled tilt angle in the patterned photoresist layer 200 (in Figure 2B) degrades CD control of the spacer pattern comprising freestanding spacers 240 (in Figure
2E) because of broadening of the average spacer linewidth due to tilt. The increased sidewall roughness in the patterned photoresist layer 200 (in Figure 2B) due to plasma damage increases the rms line-shape variations of freestanding spacers 240 (in Figure 2E) in the spacer pattern, as expressed by the LER and LWR metrics of patterned lines. When the pattern comprising spacers 240 is used as a masking layer, the degraded metrics of the pattern of spacers 240 may translate to degraded CD control, LER, and LWR metrics in the half-pitch patterns printed using the spacer pattern. [0037] Photoresists generally comprise soft deformable materials that may be easily damaged by ion sputtering and oxidized by oxygen radicals. Thus, the tapering deformation and surface roughness enhancement of photoresist mandrels, described above, may be avoided by first transferring the photoresist pattern to a dedicated mandrel layer comprising materials that are stiffer and more resistant to plasma damage. The deposition and removal of the added dedicated mandrel layer adds cost to the IC fabrication process flow.
[0038] As mentioned above, process flow 100 (illustrated by the flow diagram in Figure
1) achieves the same benefit of adding a dedicated mandrel layer, but at a reduced cost by using the BARG layer as the mandrel layer. As also explained above, organic BARG provides several advantages over inorganic BARG. Accordingly, an organic BARG layer has been used in the example embodiment for the SADP-SIT process flow 100, described below with reference to Figures 3A-7. In comparison to photoresist, organic BARG is more resistant to plasma damage and oxidation. Although carbon in the organic polymers in organic BARG may react with oxygen during a subsequent spacer deposition process step (to form, e.g. gaseous oxides of carbon), the damage to organic BARC mandrels may be tolerable.
[0039] Organic BARC is usually not as stiff as inorganic BARC, and may not be immune to oxidation when exposed to oxygen plasma. In the example embodiment for the SADP-SIT process flow 100, described below with reference to Figures 3A-7, a thin protective film may be formed over the top surface of the organic BARC prior to coating the photoresist material.
Thus protected, the plasma damage and tapering deformation of the organic BARC during spacer layer deposition may be diminished to an acceptable level.
[0040] Figure 3A illustrates an incoming wafer 3000 with stacked layers, as described in block 110 of process flow 100 in Figure 1. The target layer 320 for the half-pitch pattern of the example SADP-SIT process flow 100 is the topmost layer of the incoming wafer 3000. All other layers are collectively shown as layer 310. Target layer 320 comprises a primary layer
322 and a relatively thin cover layer 324 formed over the primary layer 322. The primary layer 322 may comprise, for example, spin-on carbon (SOC), or materials such as amorphous carbon formed using CVD, having a thickness of about 30 nm to about 200 nm.
The cover layer 324 may comprise, for example, SOG, or materials formed using CVD such as silicon oxynitride (SiOxNy), silicon dioxide, silicon carbide, and metal oxide having a thickness of about 5 nm to about 35 nm.
[0041] Figures 3B and 3C illustrate the pre-lithography process steps indicated in block 120 of the SADP-SIT process flow 100, illustrated in Figure 1.
[0042] Figure 3B illustrates a stack of layers 3100 after an organic BARC layer 332 has been formed over the target layer 320 the incoming wafer 3000 (see Figure 3A). The material and thickness of the organic BARC layer 332 may be selected in accordance with the wavelength of the illuminating laser, the reflectivity of the substrate below the BARC, and the thickness and optical properties of the photoresist used. In one embodiment, the organic
BARC layer 332 may be about 25 nm to about 100 nm thick. [0043] Organic films, such as the organic BARC layer 332 in Figures 3B, may be hardened by curing processes (e.g., plasma treatment, heat treatment, and ultra-violet radiation treatment) that enable cross-linking of carbon- to-carbon bonds.
[0044] A protective film 334 may be optionally formed over the organic BARC layer 332 thereby forming the protected organic BARC layer 320. Although the thin protective film may comprise different materials, depending on the deposition process used and the stage of the process flow, the same numeral 334 has been used in this document for the sake of simplicity. In some embodiment, the forming the protective film 334 may be omitted.
[0045] As explained above, the protective film 334 protects the top corners and edges from plasma damage in a subsequent plasma deposition step used to form a spacer layer.
Generally, the protective film 334 may be less than 5 nm thick, and comprise a relatively stiff inorganic material that may be removed during an overetch portion of a subsequent spacer etch process. In various embodiments, the protective film 334 may be formed using any suitable deposition technique, such as physical vapor deposition (PVD), sputter deposition, atomic layer deposition (ALD), plasma-enhanced ALD (PEALD), chemical vapor deposition
(CVD), plasma-enhanced CVD (PECVD), spin coating, or direct current superposition
(DCS).
[0046] Spin coating a thin film of, for example, SOG or spin-on metal (SOM) as the protective film 334 provides the advantage of performing the spin coating in the track system, thereby reducing processing time and cost. Accordingly, in one embodiment, an
SOG film is first spin-coated over the organic BARC layer 332 forming the thin protective film
334, and the combined protected organic BARC layer 330 may be hardened using a bake process. The spin-coating and the bake may both be performed using equipment and processes available in the sequential track system. [0047] In another embodiment, illustrated in Figure 3C, a DCS plasma treatment is performed after forming the organic BARC layer 332. Subjecting the BARC layer 332 to a DCS plasma treatment provides the advantage of curing the BARC layer 332 and depositing the protective film 334 over the top surface in one process step. The semiconductor wafer is positioned on a conductive substrate holder (not shown) below a top electrode 350 in a capacitively coupled plasma (CCP) plasma chamber. The top electrode 350 comprises a silicon film 352 at the bottom side of a metal plate 354, and the substrate holder (not shown) in contact with the substrate serves as the bottom electrode. A high voltage DC source, connected to the metal plate 354 provides negative bias to the top electrode 350 relative to the grounded bottom electrode. The electrodes are configured with RF power and possibly
RF bias sources to create plasma 344 in the space between the wafer and the top electrode using, for example, an inert gas such as argon or nitrogen, a mixture of inert gases, or a gaseous mixture comprising hydrogen and an inert gas (e.g., a mixture of hydrogen and nitrogen).
[0048] Positive ions (e.g., Ar ions) in the plasma 344 get attracted by the high negative
DC bias (roughly 1 kV), accelerate, and strike the bottom silicon film 352 of top electrode 350 producing secondary electrons and sputtering some silicon. A fraction of the sputtered silicon atoms may deposit on the wafer to form a protective film 334 of silicon on the surface.
When the silicon in the protective film 334 gets exposed to oxygen (e.g., the oxygen in the air outside the plasma chamber), it oxidizes to silicon oxide. The silicon oxide film then serves as the protective film 334 during a subsequent plasma deposition of the spacer layer, as mentioned above.
[0049] The negatively charged secondary electrons are repelled and accelerated downward toward the bottom electrode, creating a flux of ballistic electrons 340, as indicated by the arrows in Figure 3C. Aided by the high energy acquired from the high negative DC bias, the ballistic electrons 340 may easily pass through the plasma and the sheath to penetrate at least a hundred nanometers into the substrate. The curing process occurs as dangling bonds are created via interactions with ballistic electrons 340 during their passage through the organic BARC, enabling the cross-linking of carbon. [0050] Next, the structure 3200, comprising the cured organic BARC layer 330 formed over the target layer 320 of the incoming wafer, may be processed through the process steps of the photolithography module, as described above with reference to block 130 in
Figure 1. [0051] Figure 4 illustrates a structure 4000, wherein a patterned photoresist layer 400 is the photoresist relief pattern formed over the protected organic BARC layer 330. The relief pattern is the result of the photolithography process steps described above with reference to boxes 132, 134, and 136 in block 130 of the SADP-SIT process flow 100.
[0052] Figure 5 illustrates a structure 5000 showing a patterned mandrel layer 500 formed after the BARC patterning steps described above by block 140 in the flow diagram of the SADP-SIT process flow 100 in Figure 1 have been executed. The patterned mandrel layer 500 comprises the materials of the protected organic BARC layer 330 in Figure 4. In
Figure 5, the mandrel pattern of the patterned photoresist layer 400 is transferred to the protected organic BARC layer 330 (in Figure 4) by directional etching with an etch chemistry that removes the protected organic BARC layer 330 selectively and stops on the cover layer
324 below. The directional etching may be, for example, reactive ion etching (RIE) performed using oxygen containing plasma, comprising a gas such as O2, CO, CO2, SO2,
NO2, or carbonyl sulfide (COS). In some other embodiment, a mixture of N2 and H2 may be used. The photoresist may be removed during the overetch portion of the etching process. The mandrels in the patterned mandrel layer 500 comprise the organic BARC layer 502 and the thin protective layer 504. In the examples, illustrated in Figure 5, the thin protective layer
504 comprises silicon oxide. In one embodiment, the silicon oxide in the thin protective layer
504 may be SOG. In another embodiment the silicon oxide in the thin protective layer 504 may be oxidized silicon, where the silicon was deposited during a DCS plasma treatment step, as described above.
[0053] Figures 6A-6C illustrate the processing steps used to form a half-pitch spacer pattern, as indicated in block 150 in the flow diagram illustrated in Figure 1. As described above, self-aligned spacers may be formed on the sidewalls of the patterned mandrel layer
500 (box 152 in Figure 1) followed by a mandrel pull etch step to remove the patterned mandrel layer 500 (box 154 in Figure 1).
[0054] Figure 6A illustrates a structure 6000, wherein a spacer layer 600 is formed conformally over the exposed top surface of the cover layer 324, and over the sidewalls and top surfaces of the mandrels in the patterned mandrel layer 500. In order to avoid damaging the patterned organic BARC layer 502, the conformal deposition process may be performed at a low temperature of about 25 °C to about 200 °C using a plasma-enhanced deposition technique, for example, a PEALD technique. In one embodiment, the spacer layer 600 comprises silicon oxide film having a film thickness target of about 5 nm to about 25 nm. The thickness of the spacer layer in an SIT process directly influences the linewidths in the pattern formed in the target layer onto which the half-pitch spacer pattern is to be transferred. Hence, it is advantageous for the spacer to be formed using a technique where the thickness may be precisely controlled, such as in ALD processes. In the example embodiment, for low temperature deposition and precise thickness control, the spacer layer
600 may be formed using a PEALD process with a first precursor gas such as bis(tertiary- butylamino)silane (BTBAS), tetradimethyl-aminosilicon (TDM AS). The second reaction of the
PEALD process is an oxidation reaction performed using oxygen plasma. In various embodiments, the spacer layer 600 may comprise titanium oxide, aluminum oxide, or tin oxide formed using a low temperature ALD process. As explained above, the patterned thin protective layer 504 helps reduce plasma damage and prevents deformation of the sidewalls. As illustrated in Figure 6A, the sidewalls remain vertical after the PEALD process is complete.
[0055] In Figure 6B, the spacer layer 600 (in Figure 6A) has been removed selectively from over the flat top surfaces of the patterned mandrel layer 500 and the cover layer 324 between mandrels using a directional etch (e.g., RIE using fluorine chemistry, or chlorine chemistry, or the like) to form a structure 6100. The spacer etch process also removes the thin protective layer 504 comprising silicon oxide or SOG, thereby forming freestanding spacers 610 along the sidewalls of the cured and patterned organic BARC layer 502. The organic BARC layer 502 and the cover layer 324 comprising, for example, SiON, may be etch stop layers for the etch chemistry used to form the freestanding spacers 610. [0056] Figure 6C illustrates a structure 6200 formed after a mandrel pull process (box
154 in Figure 1) is performed. The mandrels, comprising the cured and patterned organic
BARC layer 502 may be removed, using oxygen plasma. In another embodiment, the mandrel pull process may be a wet etch process using a solution of sulfuric acid and hydrogen peroxide, referred to as sulfuric peroxide mix (SPM). Removing the mandrels leaves freestanding spacers 610 and spaces 250, as illustrated in Figure 6C. The half-pitch spacer pattern, comprising freestanding spacers 610 (e.g., vertical silicon oxide spacers) may be used as a mask to transfer the pattern to one or more underlying layers, as indicated in block 160 of the SADP-SIT process flow 100, illustrated by the flow diagram in Figure 1.
[0057] Figure 7 illustrates a structure 7000 after the pattern transfer process, indicated in block 160 in the SADP-SIT process flow 100 in Figure 1 is complete. The half-pitch spacer pattern, comprising freestanding spacers 610, has been transferred to the underlying target layer 320 of the structure 6200 to form the patterned target layer 700. As illustrated in Figure
7, the patterned target layer 700 comprises a patterned cover layer 704 and a patterned primary layer 702. [0058] The example embodiment of SADP-SIT process flow 100, described above, achieves a pitch doubling in the pattern comprising the patterned target layer 700, relative to the pitch of the first pattern comprising the patterned photoresist layer 400 (see Figure 4).
The pitch-splitting patterning uses the self-aligned SIT technique and may be provided at a reduced cost by using a low-cost organic BARC process for the photolithography module and then reusing the organic BARC layer 502 as the mandrel layer for the freestanding spacers 610, as seen in Figure 6B. High-fidelity pattern transfer has been enabled at the reduced cost by providing a patterned mandrel layer 500 comprising a hardened (by curing) organic BARC layer 502 covered by a thin protective layer 504 (see Figure 6A).
[0059] Example embodiments of this application are summarized here. Other embodiments can also be understood from the entirety of the specification as well as the claims filed herein.
[0060] Example 1. A method of patterning a substrate includes depositing an anti- reflective coating layer on a layer stack on a substrate and depositing a layer of photoresist on the substrate subsequent to depositing the anti-reflective coating layer. The method includes forming a latent pattern within the layer of photoresist by exposing the layer of photoresist to a pattern of actinic radiation, developing the layer of photoresist resulting in a relief pattern that includes mandrels, and transferring the relief pattern into the anti-reflective coating layer using a directional etch process. The anti-reflective coating layer resulting in the relief pattern that includes mandrels. The method includes removing the layer of photoresist from the substrate, forming sidewall spacers on mandrels formed from the antireflective coating layer, removing the mandrels formed from the anti-reflective coating layer while leaving the sidewall spacers on the substrate, and transferring a pattern defined by the sidewall spacers into one or more underlying layers.
[0061] Example 2. The method of example 1, further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
[0062] Example 3. The method of one of examples 1 or 2, where the protective film is deposited via atomic layer deposition.
[0063] Example 4. The method of one of examples 1 to 3, where the protective film is deposited via chemical vapor deposition. [0064] Example 5. The method of one of examples 1 to 4, where the protective film is deposited via sputter deposition. [0065] Example 6. The method of one of examples 1 to 5, where the protective film is between one nanometer and five nanometers thick.
[0066] Example 7. The method of one of examples 1 to 6, where the protective film is a layer of silicon oxide. [0067] Example 8. The method of one of examples 1 to 7, further including treating the anti-reflective coating layer with a flux of ballistic electrons prior to depositing the layer of photoresist.
[0068] Example 9. The method of one of examples 1 to 8, further including cross-linking material of the anti-reflective coating layer prior to depositing the layer of photoresist. [0069] Example 10. The method of one of examples 1 to 9, further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
[0070] Example 11. The method of one of examples 1 to 10, further including hardening material of the anti-reflective coating layer prior to depositing the layer of photoresist. [0071] Example 12. The method of one of examples 1 to 11 , further including depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
[0072] Example 13. A method of forming a device includes forming a hard mask layer over an underlying layer of a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the anti-reflective coating layer, and forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask. The method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate. [0073] Example 14. The method of example 13, further including, forming a protective film over the anti-reflective coating layer prior to forming the patterned resist layer by direct current superposition.
[0074] Example 15. The method of one of examples 13 or 14, further including, cross- linking material of the anti-reflective coating layer prior to forming the patterned resist layer.
[0075] Example 16. The method of one of examples 13 to 15, further including forming a protective film over the anti-reflective coating layer by a coating process, spin-coating process, an atomic layer deposition process, a chemical vapor deposition process, or a sputter deposition process. [0076] Example 17. The method of one of examples 13 to 16, where forming the mandrel further includes depositing a protective film over the anti-reflective coating layer and patterning the protective film using the patterned resist layer as the etch mask.
[0077] Example 18. A method of forming a device includes forming a hard mask layer over a substrate, forming an anti-reflective coating layer over the hard mask layer, forming a patterned resist layer over the anti-reflective coating layer, forming a mandrel including the anti-reflective coating layer by patterning the anti-reflective coating layer using the patterned resist layer as an etch mask. The method includes forming a sidewall spacer on the mandrel including the anti-reflective coating layer, forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer, and transferring a pattern defined by the freestanding spacer into an underlying layer of the substrate.
[0078] Example 19. The method of example 18, further including forming a protective film over the anti-reflective coating layer by direct current superposition, where forming the mandrel further includes patterning the protective film using the patterned resist layer as the etch mask. [0079] Example 20. The method of one of examples 18 or 19, further including forming a protective film over the anti-reflective coating layer by exposing the anti-reflective coating layer to an electron beam, where forming the mandrel further includes patterning the protective film using the patterned resist layer as the etch mask.
[0080] While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.

Claims

WHAT IS CLAIMED IS:
1. A method of patterning a substrate, the method comprising: depositing an anti-reflective coating layer on a layer stack on a substrate; depositing a layer of photoresist on the substrate subsequent to depositing the antireflective coating layer; forming a latent pattern within the layer of photoresist by exposing the layer of photoresist to a pattern of actinic radiation; developing the layer of photoresist resulting in a relief pattern that includes mandrels; transferring the relief pattern into the anti-reflective coating layer using a directional etch process, the anti-reflective coating layer resulting in the relief pattern that includes mandrels; removing the layer of photoresist from the substrate; forming sidewall spacers on mandrels formed from the anti-reflective coating layer; removing the mandrels formed from the anti-reflective coating layer, while leaving the sidewall spacers on the substrate; and transferring a pattern defined by the sidewall spacers into one or more underlying layers.
2. The method of claim 1, further comprising depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
3. The method of claim 2, wherein the protective film is deposited via atomic layer deposition.
4. The method of claim 2, wherein the protective film is deposited via chemical vapor deposition.
5. The method of claim 2, wherein the protective film is deposited via sputter deposition.
6. The method of claim 2, wherein the protective film is between one nanometer and five nanometers thick.
7. The method of claim 2, wherein the protective film is a layer of silicon oxide.
8. The method of claim 1 , further comprising treating the anti-reflective coating layer with a flux of ballistic electrons prior to depositing the layer of photoresist.
9. The method of claim 1 , further comprising cross-linking material of the anti-reflective coating layer prior to depositing the layer of photoresist.
10. The method of claim 9, further comprising depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
11. The method of claim 1 , further comprising hardening material of the anti-reflective coating layer prior to depositing the layer of photoresist.
12. The method of claim 11, further comprising depositing a protective film on a top surface of the anti-reflective coating layer prior to depositing the layer of photoresist.
13. A method of forming a device, the method comprising: forming a hard mask layer over an underlying layer of a substrate; forming an anti-reflective coating layer over the hard mask layer; forming a patterned resist layer over the anti-reflective coating layer; forming a mandrel comprising the anti-reflective coating layer by patterning the antireflective coating layer using the patterned resist layer as an etch mask; forming a sidewall spacer on the mandrel comprising the anti-reflective coating layer; forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer; and using the freestanding spacer as an etch mask, patterning the underlying layer of the substrate.
14. The method of claim 13, further comprising, forming a protective film over the antireflective coating layer prior to forming the patterned resist layer by direct current superposition.
15. The method of claim 13, further comprising, cross-linking material of the antireflective coating layer prior to forming the patterned resist layer.
16. The method of claim 13, further comprising forming a protective film over the antireflective coating layer by a coating process, spin-coating process, an atomic layer deposition process, a chemical vapor deposition process, or a sputter deposition process.
17. The method of claim 13, wherein forming the mandrel further comprises depositing a protective film over the anti-reflective coating layer and patterning the protective film using the patterned resist layer as the etch mask.
18. A method of forming a device, the method comprising: forming a hard mask layer over a substrate; forming an anti-reflective coating layer over the hard mask layer; forming a patterned resist layer over the anti-reflective coating layer; forming a mandrel comprising the anti-reflective coating layer by patterning the antireflective coating layer using the patterned resist layer as an etch mask; forming a sidewall spacer on the mandrel comprising the anti-reflective coating layer; forming a freestanding spacer on the hard mask layer by removing the mandrel from the anti-reflective coating layer; and transferring a pattern defined by the freestanding spacer into an underlying layer of the substrate.
19. The method of claim 18, further comprising forming a protective film over the anti- reflective coating layer by direct current superposition, wherein forming the mandrel further comprises patterning the protective film using the patterned resist layer as the etch mask.
20. The method of claim 18, further comprising forming a protective film over the anti- reflective coating layer by exposing the anti-reflective coating layer to an electron beam, wherein forming the mandrel further comprises patterning the protective film using the patterned resist layer as the etch mask.
PCT/US2020/040226 2019-08-14 2020-06-30 Method for pitch split patterning using sidewall image transfer WO2021029976A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962886464P 2019-08-14 2019-08-14
US62/886,464 2019-08-14

Publications (1)

Publication Number Publication Date
WO2021029976A1 true WO2021029976A1 (en) 2021-02-18

Family

ID=74570704

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/040226 WO2021029976A1 (en) 2019-08-14 2020-06-30 Method for pitch split patterning using sidewall image transfer

Country Status (2)

Country Link
TW (1) TW202123319A (en)
WO (1) WO2021029976A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090073157A (en) * 2006-09-14 2009-07-02 마이크론 테크놀로지, 인크. Efficient pitch multiplication process
US20100210111A1 (en) * 2005-03-15 2010-08-19 Round Rock Research, Llc Pitch reduced patterns relative to photolithography features
US20120205818A1 (en) * 2010-08-05 2012-08-16 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US20120302057A1 (en) * 2011-05-27 2012-11-29 International Business Machines Corporation Self aligning via patterning
US20150155198A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Double Spacer Patterning Process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100210111A1 (en) * 2005-03-15 2010-08-19 Round Rock Research, Llc Pitch reduced patterns relative to photolithography features
KR20090073157A (en) * 2006-09-14 2009-07-02 마이크론 테크놀로지, 인크. Efficient pitch multiplication process
US20120205818A1 (en) * 2010-08-05 2012-08-16 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US20120302057A1 (en) * 2011-05-27 2012-11-29 International Business Machines Corporation Self aligning via patterning
US20150155198A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Double Spacer Patterning Process

Also Published As

Publication number Publication date
TW202123319A (en) 2021-06-16

Similar Documents

Publication Publication Date Title
US9831117B2 (en) Self-aligned double spacer patterning process
JP4420592B2 (en) Method for forming fine pattern of semiconductor element
KR100479600B1 (en) A forming method of contact
US8268535B2 (en) Pattern formation method
CN110837203A (en) Method for manufacturing photomask
CN108231548B (en) Method for manufacturing semiconductor device
US7125645B2 (en) Composite photoresist for pattern transferring
US11676817B2 (en) Method for pitch split patterning using sidewall image transfer
WO2021029976A1 (en) Method for pitch split patterning using sidewall image transfer
US7883835B2 (en) Method for double patterning a thin film
JP4081793B2 (en) Method for manufacturing semiconductor device using photoresist pattern
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
KR100808050B1 (en) A forming method of pattern using ArF photolithography
JPH10312994A (en) Manufacture of semiconductor device
KR100533967B1 (en) A forming method of pattern using ArF photolithography
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
KR100516748B1 (en) Micro pattern formation method of semiconductor device
Smith et al. Incorporation of direct current superposition as a means for high quality contact and slotted contact structures utilizing litho-freeze-litho-etch
CN117912937A (en) Self-aligned double patterning method, semiconductor device and electronic equipment
CN112670168A (en) Forming method of semiconductor structure and transistor
CN117250822A (en) EUV photomask and method of manufacturing the same
CN117412591A (en) Method for manufacturing semiconductor device
KR100527398B1 (en) A forming method of self align contact using ArF lithography
KR100791213B1 (en) Forming method of narrow space pattern using anti-reflective coating
KR20030049900A (en) A forming method of pattern using ArF photolithography

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20852042

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20852042

Country of ref document: EP

Kind code of ref document: A1