KR101349989B1 - 반도체 구조체 - Google Patents

반도체 구조체 Download PDF

Info

Publication number
KR101349989B1
KR101349989B1 KR1020137000045A KR20137000045A KR101349989B1 KR 101349989 B1 KR101349989 B1 KR 101349989B1 KR 1020137000045 A KR1020137000045 A KR 1020137000045A KR 20137000045 A KR20137000045 A KR 20137000045A KR 101349989 B1 KR101349989 B1 KR 101349989B1
Authority
KR
South Korea
Prior art keywords
lines
conductive
access device
conductive lines
active regions
Prior art date
Application number
KR1020137000045A
Other languages
English (en)
Other versions
KR20130007678A (ko
Inventor
쿠날 알. 파레크
존 케이. 자후라크
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20130007678A publication Critical patent/KR20130007678A/ko
Application granted granted Critical
Publication of KR101349989B1 publication Critical patent/KR101349989B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/2815Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

비대칭 형상부들의 피치 배수화 방법 및 이를 포함하는 반도체 구조체들이 개시되어 있다. 일 실시예에서, 단일 포토리소그래피 마스크가 사용되어 예로서, DRAM 어레이의 3개 형상부를 피치 배수화한다. 일 실시예에서, 필드 위의 접지된 게이트 및 두 개의 워드 라인이 피치 배수화될 수 있다. 이런 형상부들을 포함하는 반도체 구조체들 또한 개시되어 있다.

Description

반도체 구조체{SEMICONDUCTOR STRUCTURE}
본 발명의 실시예는 집적 회로 제조에 관한 것으로, 더 구체적으로는, 반도체 구조체 상에 비대칭 형상부(feature)를 형성하는 방법 및 비대칭 형상부를 포함하는 반도체 구조체에 관한 것이다.
현대의 전자장치의 휴대성, 연산력, 메모리 용량 및 에너지 효율의 증가에 대한 요구를 포함하는 다수의 인자의 결과로서, 집적 회로는 지속적으로 크기가 감소되고 있다. 이러한 크기 감소를 용이하게 하기 위해, 집적 회로를 형성하는 전기 디바이스 및 상호접속 라인 폭 같은 구성 형상부의 크기도 꾸준히 감소되고 있다.
형상부 크기의 지속적 감소는 형상부를 형성하기 위해 사용되는 기술에 대해 꾸준히 더 큰 요구를 부여하고 있다. 예로서, 포토리소그래피는 전도성 라인과 같은 형상부를 기판 상에 패터닝하는 종래의 방법이다. 이들 형상부의 크기를 설명하기 위해 피치의 개념이 사용될 수 있다. 피치는 두 개의 이웃하는 형상부 내의 동일한 지점들 사이의 거리로서 정의된다. 이들 형상부는 종래에 인접한 형상부들 사이의 간격에 의해 정의되었으며, 이 간격은 절연체와 같은 재료로 충전될 수 있다. 결과적으로, 피치는 형상부의 폭과, 이웃하는 형상부로부터 그 형상부를 분리시키는 간격의 폭의 합, 또는 형상부의 일 에지와 다음 인접 형상부의 대응하는 동일한 에지 사이의 거리로서 보여질 수 있다. 그러나, 광학장치 및 광 또는 방사선 파장과 같은 인자들에 기인하여, 포토리소그래피 기술은 최소 피치를 가지며, 이러한 최소 피치 아래에서는 특정 포토리소그래피 기술은 신뢰성있게 형상부를 형성할 수 없다. 따라서, 포토리소그래피 기술의 최소 폭은 형상부 크기 감소를 제한할 수 있다.
포토리소그래피 기술의 성능을 확장시키기 위해 피치 배수화(doubling) 또는 피치 다중배수화(multiplication) 기술이 제안되어 왔다. 피치 다중배수화 방법의 일 예가 본 명세서의 도 1a 내지 도 1f에 예시되어 있으며, 그 전체 내용이 본 명세서에 참조로서 통합되어 있는 Lowrey 등에게 허여된 미국 특허 제 5,328,810호에 개시되어 있다. 도 1a를 참조하면, 먼저, 기판(30)과 소모성 재료로 이루어진 층(20) 위에 배설된(overlying) 포토리소그래피 재료 내에 라인들(10)의 패턴을 형성하기 위해 포토리소그래피가 사용된다. 도 1b에 도시된 바와 같이, 그 후, 패턴이 에치 단계(바람직하게는 이방성)에 의해 층(20)으로 전달되어 플레이스홀더들(placeholders) 또는 멘드릴들(mandrels; 40)을 형성한다. 포토리소그래피 라인들(10)이 벗겨지고, 멘드릴들(40)은 도 1c에 도시된 바와 같이 이웃하는 멘드릴들(40) 사이의 거리를 증가시키기 위해 등방성 에칭될 수 있다. 후속하여, 도 1d에 도시된 바와 같이, 재료의 층(50)이 멘드릴들(40) 위에 증착될 수 있다. 그 후, 도 1e에 도시된 바와 같이, 방향성 스페이서 에치에서 수평 표면들(70, 80)으로부터 스페이서 재료를 선택적으로 에칭(preferentially etching)함으로써, 스페이서들(60), 즉, 다른 재료의 측벽들로부터 연장하도록 원래 형성되어 있거나, 그렇게 연장하는 재료가 멘드릴들(40)의 측벽들 상에 형성될 수 있다. 그 후, 잔여 멘드릴들(40)이 제거되어 도 1f에 도시된 바와 같이 독립형 스페이서들(60)을 남기게 된다. 스페이서들(60)은 도 1f에 도시된 바와 같이, 아래 배설된(underlying) 층들을 패터닝하기 위한 에치 마스크로서 작용한다. 따라서, 소정의 피치가 이전에 하나의 형상부와 하나의 스페이스를 정의하는 패턴을 포함한다면, 이제, 동일한 폭이 두 개의 형상부와 두 개의 스페이스를 포함한다. 결과적으로, 포토리소그래피 기술을 가지고 가능한 최소 형상부 크기가 효과적으로 감소된다.
그러나, 종래의 피치 배수화 프로세스는 예로서, 필드 영역 위의 통과 워드 라인의 제거에 의해 대칭성이 파괴된 DRAM 어레이의 비대칭 형상부를 피치 배수화하기 위해 신뢰성있게 사용될 수 없다는 데 한계가 있다. 어레이 게이트 패터닝 레벨에서 문제가 발생되며, 그 이유는 일 피치 상에 세 개의 형상부, 즉, 필드 위의 접지 게이트와 두 개의 워드 라인이 정의될 필요가 있기 때문이다. 필드 위의 접지된 게이트는 패턴 밀도를 균형화하고, 선형 자체-정렬 컨택 에치가 수행되어 저장부 및 비트 컨택 활성 영역들에 플러그를 연결하기(conducting) 위한 공동을 형성하도록 수행될 수 있게 되는 것도 보증한다. 종래의 피치 배수화는 이런 예에서는 비효율적이며, 그 이유는 모든 다른 패턴화된 형태 상에서의 피치 배수화 형상부는 워드 라인 및 접지된 게이트에 대하여 워드 라인을 위한 정확한 간극(gap)을 정의하지 못하기 때문이다. 따라서, 이런 서브-리소그래피 형상부들을 포함하는 반도체 구조체 및 비대칭 형상부들을 피치 배수화하는 방법에 대한 지속적 요구가 본 기술 분야에 존재한다.
도 1a 내지 도 1f는 종래의 피치 배수화 방법에 따라 형성된 마스크 라인들의 개략 단면도이다.
도 2는 6F2 메모리 어레이의 일 구성을 위한 회로 레이아웃의 간단한 평면도이다.
도 3은 프로세싱의 사전 단계에서 작업편의 일 실시예의 단면도이다.
도 4는 도 3에 예시된 바에 후속하는 프로세싱 단계의 스테이지에서 작업편의 일 실시예의 평면도이다.
도 5a는 반도체 구조체의 일 실시예의 상면도이고, 도 5b는 중간 반도체 디바이스의 단면도이다.
도 5c는 도 5b에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 단면도이다.
도 6a는 반도체 구조체의 일 실시예의 상면도이고, 도 6b는 A로 표시된 점선을 따라 취해진 도 6a의 반도체 구조체의 단면도이다.
도 6c는 도 6b에 예시된 바에 후속하는 프로세싱 단계의 스테이지에서 반도체 구조체의 일 실시예의 단면도이다.
도 7a는 반도체 구조체의 일 실시예의 상면도이고, 도 7b는 A로 표시된 점선을 따라 취해진 도 7a의 반도체 구조체의 단면도이다.
도 8은 도 7b에 예시된 바에 후속하는 프로세싱 단계의 스테이지에서 반도체 구조체의 일 실시예의 단면도이다.
도 9는 도 8에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 상면도이다.
도 10a는 반도체 구조체의 일 실시예의 상면도이며, 도 10b는 A로 표시된 점선을 따라 취한 도 10a의 반도체 구조체의 단면도이다.
도 11a는 반도체 구조체의 일 실시예의 상면도이고, 도 11b는 A로 표시된 점선을 따라 취한 도 11a의 반도체 구조체의 단면도이다.
도 12a는 도 11b에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 상면도이고, 도 12b는 A로 표시된 점선을 따라 취해진 도 12a의 반도체 구조체의 단면도이다.
도 13은 도 12b에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 상면도이다.
도 14a는 반도체 구조체의 일 실시예의 상면도이고, 도 14b는 A로 표시된 점선을 따라 취한 도 14a의 반도체 구조체의 단면도이다.
도 15는 도 14b에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 단면도이다.
도 16은 도 15에 예시된 바에 후속하는 프로세싱의 스테이지에서 반도체 구조체의 일 실시예의 상면도이다.
도 17 및 도 18a는 제조의 다양한 스테이지들 동안 반도체 구조체들의 실시예들의 상면도이다.
도 18b는 A로 표시된 점선을 따라 취해진 도 18a의 반도체 구조체의 단면도이다.
도 19 및 도 20은 제조의 다양한 스테이지들 동안 반도체 구조체들의 실시예들의 상면도이다.
이하의 설명은 도면을 참조로 본 발명의 디바이스들 및 방법들의 실시예들의 예시적인 예들을 제공한다. 이런 설명은 단지 예시적 목적을 위한 것이며, 본 발명의 범위를 제한하지 않는다. 본 명세서에 제공된 도면은 반드시 실척대로 그려진 것은 아니며, 특정 반도체 구조 또는 그 제조 프로세스의 실제 모습은 아니며, 단지, 본 발명의 실시예들을 설명하기 위해 채용된 이상적인 표현들이다. 본 기술 분야의 통상적 지식을 가진 자가 이해할 수 있는 바와 같이, 디바이스들 및 방법들의 다른 실시예들이 본 발명에 따라 구현될 수 있다.
적어도 하나의 비대칭, 서브-리소그래픽 형상부를 포함하는 반도체 구조체들이 개시되어 있으며, 이런 반도체 구조체들을 형성하는 방법들이 개시되어 있다. 피치 배수화 프로세스는 기판 상에 격리된 활성 영역들을 형성하기 위해 사용될 수 있다. 비대칭 형상부들은 기판 상의 라인들과 간격(space)들 사이의 대칭성을 붕괴시킬 수 있다. 본 명세서에 상세히 설명되고 도 3 내지 도 10b에 예시된 바와 같이, 마스킹 재료가 기판 위에 형성되고 활성 영역 패턴을 형성하기 위해 기판 상에 제1 방향으로 패터닝될 수 있다. 활성 영역 마스크는 기판 상에 실질적 수직 방향으로 형성 및 패터닝되고, 그에 인접한 스페이서들의 형성 이후에 제거될 수 있다. 스페이서들은 후속 에칭 동안 마스크로서 기능할 수 있으며, 그래서, 제1 트렌치들이 스페이서들 사이에 형성되어 기판 상의 활성 영역들을 격리시킨다.
후속하여, 리세스형 억세스 디바이스(RAD) 트렌치들이 기판 상에 형성될 수 있다. 본 명세서에 상세히 설명되고, 도 11a 내지 도 15에 예시된 바와 같이, 다수의 마스크 재료들이 격리된 활성 영역들 상에 형성되고 패터닝될 수 있다. 스페이서들은 마스크 재료들에 인접하게 형성되고, 스페이서들의 제거 이후, 마스크 재료들은 서브-리소그래픽 트렌치들을 형성하기 위한 후속 에칭 동안 마스크들로서 기능할 수 있다. 비제한적 예로서, 트렌치들은 RAD 트렌치, FIN 트렌치, 이중 FIN 트렌치 또는 메모리 어레이의 워드 라인일 수 있다.
메모리 어레이의 접지된 게이트들 및 전도성 라인들이 그후 기판 상에 형성될 수 있다. 본 명세서에 상세히 설명되고, 도 16A 내지 도 20에 예시된 바와 같이, 교번적(alternating) 마스크 재료들 및 스페이서들이 트렌치들 위에 형성되고 패터닝될 수 있다. 마스크 재료들이 제거되고 스페이서들은 트렌치들에 대한 연결부들을 형성하기 위한 후속 에칭 동안 마스크들로서 기능할 수 있다.
하기의 설명은 본 발명의 실시예들의 전반적 설명을 제공하기 위해서, 재료 유형, 에치 화학 및 프로세싱 조건과 같은 특정 세부사항들을 제공한다. 그러나, 본 기술 분야에 대한 통상적인 지식을 가진 자는 본 발명의 이들 및 다른 실시예들이 이들 특정 세부사항들 없이도 실시될 수 있다는 것을 이해하고 알 수 있을 것이다. 사실, 본 발명의 실시예들은 본 산업계에서 채용되는, 따라서, 본 명세서에는 상세히 설명되어 있지 않은 종래의 제조 기술들 및 에칭 기술들과 연계하여 본 발명의 실시예가 실시될 수 있다. 부가적으로, 아래에 제공된 설명은 반도체 디바이스를 제조하기 위한 완전한 프로세스 흐름을 형성하지 않는다. 본 명세서에 설명된 반도체 구조체들은 완전한 반도체 디바이스를 형성하지 않는다. 단지 본 발명의 실시예들을 이해하기 위해 필요한 프로세스 작용들 및 반도체 구조 구조체들만이 이하에 상세히 설명된다. 반도체 구조체들로부터 완전한 반도체 디바이스를 형성하기 위한 추가적 작용은 종래의 제조 기술, 따라서, 본 명세서에는 설명되어 있지 않은 제조 기술에 의해 수행될 수 있다.
본 명세서에 설명된 방법들은 RAD 형상부, FinFET, 새들 FET(saddle FET), 나노와이어, 3차원 트랜지스터 및 기타 3차원 반도체 디바이스 형상부를 포함하는 동적 랜덤 억세스 메모리(DRAM)와 같은 메모리 디바이스들의 반도체 구조체들을 형성하기 위해 사용될 수 있다. 이런 구조체들을 포함하는 메모리 디바이스 또는 다른 반도체 디바이스는 비제한적으로 무선 디바이스, 퍼스널 컴퓨터 또는 기타 전자 디바이스들에 사용될 수 있다. 비제한적 예로서, 본 명세서의 방법들은 DRAM 메모리 디바이스 또는 RAD 메모리 디바이스와 같은 메모리 디바이스들의 반도체 구조체들의 제조를 설명한다. 본 명세서의 방법들이 메모리 디바이스들의 반도체 구조체들의 제조를 설명하지만, 이 방법들은 또한 비대칭 형상부들의 피치 배수화가 요구되는 다른 상황들에서 사용될 수도 있다. 부가적으로, 본 명세서에 설명된 방법은 6F2 DRAM 디바이스 구성 또는 레이아웃을 참조로 예시되어 있지만, 이 방법들은 격리 영역들이 트렌지스터 게이트가 최종적으로 형성될 위치에 실질적으로 평행한 한, 예로서, 4F2 또는 8F2 레이아웃과 같은 다른 레이아웃들을 갖는 DRAM 디바이스들 또는 다른 반도체 디바이스들을 형성하는데 사용될 수 있다.
본 발명의 실시예들은 6F2 메모리 어레이의 활성 영역, 게이트들 내의 트렌치들 및/또는 게이트들에 대한 연결부들을 형성하기 위해 피치 배수화 특징을 포함할 수 있다. 도 2는 본 명세서에서 기판(110)을 포함하는 메모리 어레이(100)로서 지칭되는 6F2 메모리 어레이를 위한 회로 레이아웃의 일부의 간단한 도면이다. 복수의 연속적 활성 영역들(112)은 기판(110)에 대해 형성될 수 있다. 명료성을 위해, 각 예시된 연속적 활성 영역들(112)은 기판(110)의 경계 외부로 연장하는 것으로 도시되어 있다. 연속적 활성 영역(112)은 실질적 수평 방향으로 메모리 어레이(100)를 가로지르는 사형 경로(serpentine path)를 따라 통상적으로 비선형적이다. 복수의 매설 비트 라인들(118)은 메모리 어레이(110)를 대체로 수평으로 가로질러 연장하는 음영(hatched) 영역들로서 도시되어 있다. 또한, 각 비트 라인(118)은 메모리 어레이(100)를 가로질러 사형 경로를 따르며, 비트 라인(118)의 사형 위브(weave)는 연속적 활성 영역들(112)의 위브에 대해 반대 방향이다.
복수의 전도성 라인들(120, 134)은 활성 영역들(112)에 대해 기판(110) 위에 형성될 수 있다. 도 2에서, 전도성 라인들 중 6개는 120으로 표시되어 있고, 두 개는 134로 표시되어 있다. 한 쌍의 전도성 라인(120)은 전도성 라인(134)의 각 측면 상에 형성될 수 있다. 전도성 라인들(120, 134)은 활성 영역들(112)에 대해 실질적으로 직교하여 연장한다.
도시된 메모리 어레이에 따라 단일 메모리 셀들에 의해 소비되는 개별 영역들은 참조 번호 125로 표시된 점선 영역에 의해 예시되어 있다. 이런 영역은 최소 형상부 크기인 치수 "F"에 대하여 고려 또는 설명될 수 있다. 예시된 실시예에서, F는 메모리 어레이의 "최소 피치"의 1/2와 같다. 본 명세서에서 사용될 때, 용어 "피치"는 그 종래의 용도에서 사용되는 것을 의도하며, (이전에 언급된 바와 같이) 디바이스 또는 형상부의 일 에지와 다음 인접한 디바이스 또는 형상부의 대응하는 동일한 에지 사이의 거리로서 정의될 수 있다. 따라서, 메모리 셀(125)에 관하여, 용어 "최소 피치"는 라인 폭(전도성 라인들(120, 134)과 같은)의 최소 거리와, 전도성 라인(120)과 메모리 셀(125) 내의 반복 패턴의 다음 인접한 전도성 라인(120) 사이의 전도성 라인(120)의 일 측면 상의 전도성 라인(120)에 바로 인접한 간격의 폭을 합한 것과 같다. 도시된 바와 같이, 단일 메모리 셀(125)은 약 3F 폭 x 약 2F 깊이이며, 따라서, 약 6F2의 단일 메모리 셀(125)을 위해 소비되는 영역을 제공한다.
도 2에 도시된 것 같은 메모리 어레이(100)의 구현의 예에서, 선택된 개별 전도성 라인들은 인접 메모리 셀들(125)에 대하여 전기적 격리를 제공할 수 있다. 예로서, 도시된 바와 같이, 전도성 라인들(120)은 개별 메모리 셀(125)에 대하여 워드 라인들로서 기능한다. 인접한 메모리 셀들(125)의 쌍들 사이의 전기적 격리는 전도성 라인(134)을 중단시킴(intervening)으로써 제공되고, 이는 동작시, 접지 또는 적절한 음 전압(negative voltage)에 연결될 수 있다. 대안적으로, 필드 산화물 격리 기술이 사용될 수 있다.
도 2에 도시된 메모리 어레이(100)는 커패시터 용기들(containers; 136) 및 비트 라인 컨택들(138)을 추가로 포함할 수 있다. 커패시터들은 통상적으로 커패시터 용기(136) 내에 형성될 수 있고, 저장 노드 컨택들(140)을 통해 활성 영역에 결합될 수 있다. 특정 양태에서, 저장 노드 컨택들(140)은 활성 영역의 노드 부분으로 연장하는 전도성 재료를 포함할 수 있다.
도 2를 참조로, 도시된 커페시터 용기들(136)은 메모리 셀(125)의 피치와 실질적으로 같은 피치를 갖는다. 달리 말해서, 각 커패시터 용기(136)의 폭(지면 상의 수직 방향)과, 바로 인접한 커패시터 용기들 사이의 간격의 폭(지면 상의 수직 방향)의 합은 실질적으로 패턴 피치 "P"와 등가이며, 여기서 P는 도 2에 도시된 바와 같이 라인 폭 "W"과 그 라인에 바로 인접한 간격 "S"의 폭의 합이다.
도 2에 도시된 메모리 어레이(100)를 형성하기 위해, 기판(110) 내에 또는 기판(110) 상에 자체-정렬 형상부들을 형성하기 위해 기판(110) 위에 배설된 재료들의 실질적으로 수직 에치와 조합하여 마스킹 재료들이 사용된다. 본 명세서에서 사용될 때, "자체-정렬"은 그 위에 다른 형상부들이 기초를 두는 초기 패턴을 형성하기 위해 단일 포토마스크를 사용하는 것을 의미하며, 이를 포함한다. 이 때문에, 기판(110) 상에 형성된 형상부들은 추가적인 마스킹 및 포토리소그래피 작용들을 사용하지 않고 정렬된다. 기판은 종래의 실리콘 기판일 수 있거나, 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본 명세서에서 사용될 때, 용어 "벌크 기판"은 실리콘 웨이퍼 뿐만 아니라, 또한, 실리콘-온-사파이어("SOS") 기판 및 실리콘-온-글래스("SOG") 기판과 같은 실리콘-온-절연체("SOI") 기판, 베이스 반도체 기부(foundation) 상의 실리콘의 에피텍셜 층들 및 다른 반도체나 실리콘-게르마늄, 게르마늄, 게르마늄 비화물, 게르마늄 질화물 및 인듐 인화물과 같은 광전 재료들도 의미하며, 이들을 포함한다.
도 3 내지 도 10b는 기판(110) 상에 격리된 활성 영역을 형성하기 위해 피치 배수화를 사용하는 다양한 제조 스테이지에서 반도체 구조체(200)의 실시예를 도시한다. 도 3은 기판(110) 상에, 절연 재료(250), 선택적 에치-정지 재료(260), 제1 소모성(expendable) 재료(212) 및 선택적으로 정의가능한(definable) 재료(210)를 포함할 수 있는 다양한 재료를 구비한 반도체 구조체(200)를 도시한다. 총체적으로, 절연 재료(250), 선택적 에치-정지 재료(260), 소모성 재료(212) 및 선택적으로 정의가능한 재료(210)는 "마스킹 재료들"이라 지칭될 수 있다. 재료들은, 기판(110) 상에 층들의 형태로 예시되어 있지만, 재료들은 또한 다른 구성으로 형성될 수도 있다. 본 명세서에 설명된 마스킹 재료들은 스핀 코팅, 블랭킷 코팅, 화학 기상 증착("CVD"), 원자 층 증착("ALD"), 플라즈마-보강 ALD 또는 물리 기상 증착("PVD")를 포함하지만, 이에 한정되지 않는 임의의 적절한 증착 기술에 의해 형성될 수 있다. 사용되는 특정 재료에 따라, 마스킹 재료들을 형성하기 위한 기술은 본 기술의 통상적 지식을 가진 자에 의해 선택될 수 있다.
비제한적인 예로서, 기판(110)은 실리콘 반도체 기판과 같은 실리콘으로 형성된다. 절연 재료(250)는 기판(110) 상에 증착될 수 있다. 절연 재료(250)는 테트라에틸오르소실리케이트("TEOS"), 실리콘 이산화물("SiO2") 또는 고밀도 플라즈마("HDP") 산화물과 같은 실리콘 산화물일 수 있다. 절연 재료(250)는 기판(110) 상에 열적으로 성장될 수 있다. 비제한적인 예로서, 절연 재료(250)는 약 25Å 내지 약 75Å의 범위 내의 두께를 가질 수 있다. 일 실시예에서, 절연 재료(250)는 SiO2이고, 기판(110) 상에 열적으로 성장된다.
에치-정지 재료(260)는 존재시 절연 재료(250) 상에 증착될 수 있다. 에치-정지 재료(260)는 위에 배설된 재료들의 화학 기계 평탄화("CMP") 동안 유효 에치 정지부로서 기능할 수 있다. 에치-정지 재료(260)는 질화물 재료일 수 있다. 일 실시예에서, 에치-정지 재료(260)는 실리콘 질화물("Si3N4")을 포함하지만, 이에 한정되지 않는 질화물이다.
소모성 재료(212)는 기판(110)에 대해, 그리고, 반도체 구조체(200)의 다른 노출된 아래에 배설된 재료들에 대해 선택적으로 에칭할 수 있는 패터닝가능 재료로 형성될 수 있다. 소모성 재료(212)의 재료는 탄소-함유 재료, 유전체 반사방지 코팅("DARC") 또는 하부 반사방지 코팅("BARC") 재료일 수 있다. 비제한적인 예로서, 소모성 재료(212)는 비정질 탄소, 투명 탄소, 테트라에틸오르소실리케이트("TEOS"), 실리콘 질화물("Si3N4"), 실리콘 탄화물("SiC"), 실리콘 또는 실리콘-농후 산질화물("SiO3N4")과 같은 유전체 반사방지 코팅(DRAC), 실리콘 산화물("SiO2") 또는 그 조합일 수 있다. 비제한적인 예로서, 소모성 재료(212)는 약 2000Å과 같이 약 800Å 내지 약 2500Å의 범위 이내의 두께로 증착될 수 있다. 기판(110) 위에 배설된 재료들은 본 명세서에 언급된 다양한 패턴 형성 및 패턴 전달 단계들을 위한 화학 및 프로세스 조건들을 고려하여 선택될 수 있다. 소모성 재료(212)와 기판(110) 사이의 재료들은 소모성 재료(212)로부터 유도된 패턴을 기판(110)으로 전달하도록 기능하기 때문에, 이들 재료들은 이들이 다른 노출된 재료들에 대해 선택적으로 에칭될 수 있도록 선택된다. 본 명세서에서 사용될 때, 재료가 동일한 에치 화학에 노출된 다른 재료의 에치율보다 적어도 약 두 배의 에치율을 나타낼 때, 이 재료는 "선택적으로 에칭가능"하다. 이상적으로, 이런 재료는 동일한 에치 화학에 노출된 다른 재료의 에치율보다 적어도 약 10배의 에치율을 갖는다. 이 때문에, 소모성 재료(212), 절연 재료(250) 및 에치 정지 재료(260)는 본 명세서에 설명된 바와 같은 선택적 제거가 가능한 임의의 재료들의 조합일 수 있다.
선택적으로 정의가능한 재료(210)는 리소그래픽 프로세스에 의해 정의될 수 있으며, 예를 들어, 본 기술 분야에 알려진 임의의 포토레지스트 재료를 포함하는 포토레지스트 재료로 형성된다. 포토레지스트 재료 및 포토리소그래픽 기술이 본 기술 분야에 잘 알려져 있기 때문에, 원하는 패턴을 생성하기 위해 포토레지스트 재료를 선택, 증착, 패터닝 및 현상하는 단계는 본 명세서에서 상세히 설명하지 않는다.
도 4는 그 위에 패터닝된 선택적으로 정의가능한 재료(210)를 구비한 반도체 구조체(200)의 일 실시예를 도시한다. 선택적으로 정의가능한 재료(210)의 패턴은 실질적으로 동일한 폭을 갖는 간격들 및 라인들을 포함한다. 간격들은 선택적으로 정의가능한 재료(210)의 제거된 부분들에 대응할 수 있으며, 라인들은 선택적으로 정의가능한 재료(210)의 잔여 부분들에 대응한다. 라인들의 폭은 패턴을 형성하기 위해 사용되는 포토리소그래피 기술에 의해 인쇄할 수 있는 최소 형상부 크기("F")일 수 있다. 대안적으로, 간격들 및 라인들은 F보다 큰 형상부 크기로 인쇄될 수 있다. 비제한적인 예로서, F는 약 44 nm와 같은 약 40 nm 내지 약 70 nm의 범위일 수 있다. 도 4가 1F 위브 패턴을 예시하지만, 다른 레이아웃들이 사용될 수 있다는 것을 알 수 있을 것이다.
도 4의 인접한 라인들 사이의 피치는 선택적으로 정의가능한 재료(210)의 라인의 폭과 이웃하는 간격의 폭의 합과 같다. 이러한 라인들 및 간격들의 패턴을 사용하여 형성되는 형상부들의 임계 치수를 최소화하기 위해, 피치는 선택적으로 정의가능한 재료(210)를 패터닝하기 위해 사용되는 포토리소그래픽 기술의 한계에 있거나, 거의 한계에 있을 수 있다. 예로서, 라인들의 피치는 약 80 nm과 약 140 nm 사이일 수 있다. 따라서, 피치는 포토리소그래픽 기술의 최소 피치일 수 있으며, 이하에 설명된 스페이서 패턴은 유리하게는 포토리소그래픽 기술의 최소 피치 미만의 피치를 가질 수 있다. 대안적으로, 형상부 크기 및 위치에 대한 에러의 여유 폭은 포토리소그래픽 기술의 한계들에 접근함에 따라 증가하기 때문에, 라인들은 라인들의 위치 및 크기의 에러를 최소화하기 위해 더 큰 형상부 크기를 갖도록 형성되고 후속하여 더 작은 크기로 트리밍(trimming)될 수 있다.
도 5a를 참조하면, 선택적으로 정의가능한 재료(210)의 패턴은, 존재시, 에치-정지 재료(260) 위에 소모성 구조(264)를 형성하는 소모성 재료(212) 내로 전달될 수 있다. 패턴이 소모성 재료(212)에 전달된 이후, 선택적으로 정의가능한 재료(210)는 종래의 기술에 의해 제거될 수 있다. 일 실시예에서, 소모성 구조(264)는 소모성 라인일 수 있다. 선택적으로 정의가능한 재료(210) 내에 형성된 패턴은 종래의 건식 에치 프로세스, 종래의 습식 에치 프로세스 또는 그 조합과 같은 종래의 에치 프로세스를 사용하여 소모성 재료(212) 내로 에칭될 수 있다. 비제한적인 예로서, 건식 에치 화학이 소모성 재료(212)를 에칭하기 위해 사용되어 실질적 수직 측벽들(265)을 갖는 소모성 구조(264)를 생성할 수 있다. 본 명세서에서 사용될 때, 용어 "실질적 수직 측벽들"은 수직에 대해 약 5°미만의 경사각을 갖는 측벽들을 의미하며, 이를 포함한다. 일 실시예에서, 소모성 구조(264)의 폭은 F일 수 있다.
선택적으로 정의가능한 재료(210)의 제거 이후, 소모성 구조(264)는 트리밍될 수 있다. 본 발명의 일 실시예에서, 소모성 구조(264)는 F로부터 1/2F로 트리밍될 수 있다. 도 5b 및 도 5c에 도시된 바와 같이, 각 소모성 구조(264) 사이의 간격들(266)은 변형된 간격들(266a) 및 변형된 구조체들(264a)을 형성하도록 소모성 구조체들(264)을 에칭함으로써 넓혀질 수 있다(도 5c). 소모성 구조체(264)는 이들 형상부들을 "수축"시키기 위해 등방성 에치를 사용하여 에칭될 수 있다. 적절한 에치들은 산소 함유 플라즈마, 예를 들어, SO2/O2/N2/Ar, Cl2/O2/He 또는 HBr/O2/N2 플라즈마를 사용한 에치를 포함한다. 에치의 정도는 본 명세서의 설명으로부터 명백한 바와 같이, 변형된 구조체들(264a)의 폭들이 추후 형성된 스페이서들(268) 사이의 원하는 간격과 실질적으로 같도록 선택될 수 있다. 예로서, 소모성 구조체(264)의 폭은 약 70 nm로부터 약 35 nm로 감소될 수 있다. 유리하게는, 폭 감소 에치는, 선택적으로 정의가능한 재료(210)를 패터닝하기 위해 사용되는 포토리소그래픽 기술을 사용하여 달리 가능한 것보다 변형된 구조체들(264a)이 더 좁아질 수 있게 한다. 추가적으로, 에치는 변형된 구조체들(264a)의 에지들을 평활화할 수 있으며, 따라서, 이들 라인들의 균일성을 향상시킨다.
스페이서 재료(214)는 도 6a 및 도 6b에 도시된 바와 같이, 반도체 구조체(200)의 노출된 표면들 위에 형성될 수 있다. 스페이서 재료(214)는 ALD에 의한 것 같은 종래의 기술에 의해 변형된 구조체(264a) 위에 컨포멀(conformally) 증착될 수 있다. 스페이서 재료(214)를 컨포멀 증착함으로써, 스페이서 재료(214)의 두께는 변형된 구조체(264a)와 같은 아래에 배설된 형상부들의 기하구조 및 토포그래피에 무관하게 실질적으로 균일하게 남아있을 수 있다. 변형된 구조체들(264a)은 스페이서 재료(214)에 대해 선택적으로 에칭가능할 수 있다. 단지 예로서, 스페이서 재료(214)는 폴리실리콘, 실리콘 니트라이드(Si3N4) 또는 실리콘 산화물("SiOx")로부터 형성될 수 있다.
도 6c를 참조하면, 스페이서 재료(214)는 이방성 에칭될 수 있으며, 실질적 수평 표면으로부터 스페이서 재료(214)를 제거하고, 실질적 수직 표면들 상에 스페이서 재료(214)를 남긴다. 이 때문에, 변형된 구조체(264a)의 실질적 수평 표면들 및 반도체 구조체(200)의 아래에 배설된 부분들의 실질적 수평 표면들이 노출될 수 있다. 스페이서 재료(214)가 SiOx로 형성되는 경우, 이방성 에치는 CF4 함유 플라즈마, C2F6 함유 플라즈마, C4F8 함유 플라즈마, CHF3 함유 플라즈마, CH2F2 함유 플라즈마 또는 그 혼합물과 같은 플라즈마 에치일 수 있다. 스페이서 재료(214)가 실리콘 질화물로부터 형성되는 경우, 이방성 에치는 CHF3/O2/He 플라즈마 또는 C4F8/CO/Ar 플라즈마일 수 있다. 에치에 의해 생성된 스페이서들(268)은 변형된 구조체들(264a)의 에칭된 부분들의 실질적 수직 측벽들 상에 존재할 수 있다. 스페이서들(268)의 폭은 궁극적으로는 반도체 구조체(200) 상에 형성될 트렌치와 같은 형상부들의 원하는 폭에 대응할 수 있다. 일 실시예에서, 스페이서들(268)의 폭은 1/2F일 수 있다. 본 명세서에 추가로 상세히 설명된 바와 같이, 서브리소그래픽 폭을 갖는 제1 트렌치들(222)(도 10a 및 도 10b에 도시됨)는 스페이서들(286)에 의해 정의된 영역 사이의 기판(110) 내에 형성될 수 있다. 본 명세서에서 사용될 때 "서브리소그래픽"은 약 44 nm와 같은 약 70 nm 미만을 의미한다. 일 실시예에서, 제1 트렌치들(222)(도 10a 및 도 10b에 도시됨)은 약 1/2F의 폭을 갖는다.
그후, 변형된 구조체(264a)는 도 7a 및 도 7b에 도시된 바와 같이, 스페이서들(268)을 남기고 종래의 방법에 의해 제거될 수 있다. 예로서, 비정질 탄소가 변형된 구조체(264a)를 형성하는 소모성 재료(212)로서 사용되는 경우, 비정질 탄소는 O2/Cl2 플라즈마, O2/HBr 플라즈마, 또는 O2/SO2/N2 플라즈마와 같은 산소계 플라즈마를 사용하여 제거될 수 있다.
다음에, 변형된 구조체(264a) 아래에 배설된 재료들 내로 스페이서들(268)의 패턴을 전달하기 위해 건식 에치 화학이 사용될 수 있다. 대안적으로, 변형된 구조체들(264a) 아래에 배설된 재료 각각을 개별적으로 에치하기 위해 다수의 건식 에치 화학이 사용될 수 있다. 예로서, 제1 에치는 스페이서들(268)의 패턴을, 존재시, 아래에 배설된 에치 정지 재료(260)에 전달할 수 있고, 제2 에치는 도 8에 도시된 바와 같이 기판(110) 내로 스페이서들(268)의 패턴을 전달하여 내부에 트렌치들을 형성할 수 있다. 이들 재료들을 에칭하기에 적합한 에치 화학은 본 기술 분야에 공지되어 있으며, 따라서, 본 명세서에서는 상세히 설명하지 않는다.
도 9에 도시된 바와 같이, 반도체 구조체(200)의 활성 영역들(230)은 이완된(relaxed) 피치 및 형상부 크기를 갖는 활성 영역들(230)을 격리시키기 위해 개구들(221)을 포함하는 활성 영역 마스크로 패터닝될 수 있다. 활성 영역(230)은 기판(110)을 가로질러 실질적 수평 방향으로 연장하는 것으로 예시되어 있으며, 활성 영역 마스크(220)의 개구들(221)은 활성 영역(230)에 실질적으로 수직으로 연장하는 것으로서 예시되어 있다. 개구들(221)을 포함하는 활성 영역 마스크는 기판(110)을 가로질러 실질적 수직 방향으로 연장하는 것으로 고려될 수 있다. 활성 영역 마스크(220)는 비정질 탄소 또는 투명 탄소와 같은 탄소로부터 형성될 수 있다. 활성 영역 마스크 내의 개구들(221)의 치수를 감소시키기 위해, 희생 스페이서 재료(미도시)가 선택적으로 개구들(221)의 측벽들 상에 증착되고, 활성 영역 마스크(220) 개구들(221)이 스페이서들에 의해 측면형성되도록(flanked) 활성 영역 마스크(220)를 노출시키도록 트리밍될 수 있다. 개구들(221)의 패턴은 활성 영역들(230)을 격리시키기 위해 기판(110)에 전달될 수 있다. 활성 영역 마스크(220) 내의 개구들(221)의 패턴은 종래의 에칭 방법에 의해 또는 본 명세서에 설명된 방법에 의해 전달될 수 있다. 본 발명의 일 실시예에서, 70 nm 피치 활성 영역(230)이 반도체 구조체(200) 상에 형성될 수 있다.
도 10a 및 도 10b에 도시된 바와 같이, 활성 영역 마스크(220)는 제거될 수 있으며, 기판(110)은 활성 영역 마스크(220)에 의해 이전에 마스크된 위치에서 에칭될 수 있다. 활성 영역 마스크(220)가 스페이서들에 의해 측면형성되는 경우, 활성 영역 마스크(220)는 제거될 수 있으며, 잔여 스페이서들은 기판(110)을 에칭하기 위한 마스크로서 사용될 수 있다. 기판(110)은 이온 밀링, 반응성 이온 에칭 또는 화학적 에칭에 의해 에칭될 수 있다. 예로서, 기판(110)이 실리콘으로 형성되는 경우, 기판(110)은 H/Br/Cl2 또는 플루오로카본 플라즈마 에치를 사용하여 이방성 에칭될 수 있다. 실리콘으로 형성된 기판(110) 내로 원하는 깊이로 에칭하기 위해, 에치 시간이 제어될 수 있다. 예로서, 실리콘은 실리콘 내의 원하는 깊이를 달성하기에 충분한 시간의 양 동안 적절한 에치 화학에 노출될 수 있다.
에칭 이후, 스페이서들은 습식 또는 건식 에칭 같은 종래의 방법에 의해 제거될 수 있다. 비제한적 예로서, 스페이서들이 폴리실리콘으로 형성되는 경우, 스페이서들은 테트라메틸암모늄 하이드록사이드(TMAH) 용액을 사용하여 에칭될 수 있다. 대안적으로, 스페이서들이 질화물로 형성되는 경우, 스페이서들은 건식 에치 화학을 사용하여 제거될 수 있다.
도 10a를 참조하면, 제1 트렌치들(222)은 예로서 활성 실리콘일 수 있는 활성 영역들(230) 사이에 형성된다. 도 10b에 도시된 바와 같이, 제1 충전 재료(226)는 본 기술 분야에 공지된 바와 같이, 반도체 구조체(200) 위에 블랭킷 증착되고, 밀집화될(densified) 수 있다. 제1 충전 재료(226)는 스핀-온-유전체("SOD"), 실리콘 이산화물, TEOS 또는 고밀도 플라즈마("HDP") 산화물과 같은 실리콘 이산화물계 재료일 수 있다. 제1 충전 재료(226)는 기판(110)의 실리콘 필러(pillar)들(232) 위로 연장하는 제1 충전 재료(226)의 부분들을 제거하기 위해, 화학 기계 연마("CMP")와 같은 방법에 의해 평탄화될 수 있다.
도 10a 및 도 10b에 도시된 제1 트렌치들(222) 및 활성 영역(230) 내의 실리콘 필러들(232)은 두 개의 방향으로 피치 배수화된다. 상술한 실시예에서, 피치는 실질적으로 절반이 되지만, 이러한 피치의 감소는 종래에 피치 "배수화"라 지칭되었다는 것을 인지할 수 있을 것이다. 또한, 본 발명의 실시예들은 예로서, 실리콘 필러(232)의 폭 또는 제1 트렌치들(222)의 폭 중 어느 하나를 단 하나의 방향으로 피치를 배수화하는 것을 포함한다는 것을 추가로 이해할 수 있을 것이다. 본 발명의 일 실시예에서, 실리콘 필러(232)의 폭 및/또는 제1 트렌치들(222)의 폭은 서브리소그래픽일 수 있다.
도 10a 및 도 10b의 반도체 구조체(200')는 추가 프로세싱을 받을 수 있다. 비제한적인 예로서, 반도체 구조체(200')는 도 11a 내지 도 15에 도시된 바와 같이 메모리 어레이의 게이트들 내에 트렌치들을 형성하기 위해 추가적 피치 배수화 프로세스를 받을 수 있다. 도 11a를 참조하면, 제2 소모성 재료(312)는 활성 영역(230) 위로 증착되고 본 명세서에서 설명된 바와 같이 패터닝될 수 있다. 제2 소모성 재료(312)는 제1 트렌치들(222)에 대해 실질적으로 평행한 배향으로 패터닝될 수 있다. 제2 소모성 재료(312)는 F로 증착되고 1/2F로 트리밍될 수 있다. 일 실시예에서, 제2 소모성 재료(312)는 비정질 탄소일 수 있으며, 비록, 제2 소모성 재료(312)가 얇은 경우 습식(등방성) 에치도 적합할 수 있지만, 플루오로카본 플라즈마를 사용한 에치와 같은 이방성 에치를 사용하여 패터닝될 수 있다. 플라즈마 에치 화학은 CF4, CFH3, CF2H2, CF3H를 비제한적으로 포함할 수 있다.
스페이서 재료(314)는 패턴화된 제2 소모성 재료(312) 위에 증착되고 종래의 방법에 의해 트리밍될 수 있다. 스페이서 재료(314)는 제2 소모성 재료(312)와 스페이서 재료(314)가 F의 조합된 폭을 갖도록 1/2F로 증착될 수 있다. 스페이서 재료(314)는 화학 기상 증착 또는 원자 층 증착에 의해 증착될 수 있다. 스페이서 재료(314)는 추후 형성되는 희생 재료(313) 및 제2 소모성 재료(312)에 대해 선택적으로 제거될 수 있는 임의의 재료일 수 있다. 비제한적 예로서, 스페이서 재료(314)는 실리콘 질화물 및 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 스페이서 재료(314) 및 제2 소모성 재료(312)는 동일 재료일 수 있다. 스페이서 재료(314)는 도 11b에 도시된 바와 같이 제2 소모성 재료(312) 및 아래에 배설된 기판(110)의 수평 표면들로부터 스페이서 재료(314)를 제거하기 위해 이방성 에칭될 수 있다. 역시 스페이서 에치로서 알려진 이런 에치는 플루오로카본 플라즈마를 사용하여 수행될 수 있다.
다음에, 희생 재료(313)가 제2 소모성 재료(312) 및 스페이서 재료(314) 위에 증착될 수 있다. 희생 재료(313)는 도 12a 및 도 12b에 도시된 바와 같이 제2 소모성 재료(312)와 스페이서 재료(314)를 노출시키도록 평탄화될 수 있다. 희생 재료(313)는 제2 소모성 재료(312)와 동일한 재료를 포함하거나, 스페이서 재료(314)에 대해 선택적으로 에칭되는 임의의 재료일 수 있다.
도 13에 도시된 바와 같이, 스페이서 재료(314)는 간극(334)을 형성하도록 희생 재료(313)와 제2 소모성 재료(312)에 대해 선택적으로 에칭될 수 있다. 잔여 소모성 재료(312) 및 희생 재료(313)는 도 14a에 도시된 바와 같이 간극(334)의 위치에서 제2 트렌치들(322)을 형성하기 위해 아래에 배설된 기판(110)의 에칭 동안 마스크로서 기능할 수 있다. 제2 트렌치들(322)은 1/2F의 폭을 가질 수 있다. 희생 재료(313)와 제2 소모성 재료(312)의 간격에 기인하여, 제2 트렌치들(322)은 기판(110) 상에 비대칭적으로 배치될 수 있다. 기판(110)은 이온 밀링(milling), 반응성 이온 에칭 또는 화학적 에칭에 의해 에칭될 수 있다. 예로서, 기판이 실리콘으로 형성되는 경우, 기판은 HBr/Cl2 또는 플루오로카본 플라즈마 에치를 사용하여 이방성 에칭될 수 있다. 실리콘으로 형성된 기판 내로 원하는 깊이를 에칭하기 위해, 에칭 시간이 제어될 수 있다. 예로서, 실리콘은 실리콘 내의 원하는 깊이를 달성하기에 충분한 시간의 양 동안 적절한 에치 화학에 노출될 수 있다.
본 발명의 실시예에서, 제2 트렌치들(322)은 리세스형 억세스 디바이스 또는 "RAD" 트렌치들일 수 있다. 본 명세서에서 사용될 때, "RAD 트렌치"는 RAD 트랜지스터가 궁극적으로 형성되는 기판 내의 개구를 의미하고 이를 포함한다. RAD 트렌지스터의 일 실시예는 반도체 기판 내의 트렌치 내에 부분적으로 형성된 트렌지스터 게이트(워드 라인)를 포함한다.
에칭 이후, 제2 소모성 재료(312) 및 희생 재료(313)는 종래의 방법에 의해 제거될 수 있다. 제2 소모성 재료(312) 및 희생 재료(313)가 제거된 이후 남는 패턴은 도 14b에 도시된 바와 같이 어레이 활성 영역 패턴들을 갖는 제2 트렌치들(322)(게이트 트렌치들) 및 활성 영역(230)의 실리콘 필러들(332)("받침대(pedestal)" 또는 "핀(fin)"이라고도 지칭됨)를 포함할 수 있다. 따라서, 피치 배수화는 게이트들 내의 제2 트렌치들(322)에 대해 이루어진다. 본 발명의 일 실시예에서, 제2 트렌치들(322)은 서브리소그래피 폭을 갖는다.
제2 소모성 재료(312), 스페이서 재료(314) 및 희생 재료(313)는 스페이서 재료(314)가 제2 소모성 재료(312) 및 희생 재료(313)에 대해 선택적으로 제거가능할 수 있도록 선택될 수 있다. 따라서, 일 실시예에서, 제2 소모성 재료(312) 및 희생 재료(313)는 동일한 재료일 수 있다. 제2 소모성 재료(312), 희생 재료(313) 및 스페이서 재료(314) 각각은 비정질 또는 투명 탄소, 폴리실리콘, 실리콘 이산화물 및 실리콘 질화물로부터 선택됨으로써, 스페이서 재료(314)는 제2 소모성 재료(312) 및 희생 재료(313)에 대해 선택적으로 제거가능할 수 있다. 제2 소모성 재료(312) 및 희생 재료(313)를 위한 재료는 후속 트렌치 에치 화학을 견디도록 선택될 수 있다.
도 15를 참조하면, 제2 트렌치들(322)을 형성한 이후, 게이트 산화물 재료(370)가 종래의 방법에 의해 성장될 수 있다. 다음에, 다양한 블랭킷 트랜지스터 게이트 재료들이 도 15의 반도체 구조체(200")를 형성하기 위해 종래의 방법에 의해 형성될 수 있다. 블랭킷 트랜지스터 게이트 재료들은 도핑된 폴리실리콘(372) 또는 금속, 즉, TiN, 전도체(374), 예로서, 텅스텐 및 질화물 캡핑 재료(376)와 같은 적절한 일 함수들(work functions)의 재료들로부터 형성될 수 있다. 반도체 구조체(200")는 제2 트렌치(322) 내에 트랜지스터들을 생성하기 위해 추가로 종래의 프로세싱을 받을 수 있다.
도 15의 반도체 구조체(200")는 도 16에 도시된 바와 같이, 추가 프로세싱을 받을 수 있다. 비제한적인 예로서, 반도체 구조체(200")는 워드 라인들(즉, 전도성 라인들)을 통해 트렌지스터 게이트들에 대한 연결부를 형성하기 위해 피치 배수화를 받을 수 있다. 제1 소모성 재료(412)는 반도체 구조체(200") 위에 증착될 수 있다. 제1 소모성 재료(412)는 종래의 방법에 의해 또는 본 명세서에 설명된 방법에 의해 패터닝되어 아래에 배설된 제2 트렌치들(322)을 노출시킬 수 있다.
도 17을 참조하면, 제2 스페이서 재료(414)는 반도체 구조체(200") 위에 증착될 수 있다. 제1 스페이서 재료(414)는 종래의 방법에 의해 평탄화 및 트리밍될 수 있다. 제1 스페이서 재료(414)의 두께는 트랜지스터 게이트의 임계 치수를 정의할 수 있다. 도 18을 참조하면, 제2 소모성 재료(402)는 반도체 구조체(200") 위에 증착되고, 제1 스페이서 재료(414) 및 제1 소모성 재료(412)를 노출시키도록 평탄화될 수 있다. 제2 소모성 재료(402)는 제1 스페이서 재료(414)의 폭과 유사한 개구를 남기도록 선택된 원하는 폭으로 트리밍될 수 있다. 다음에, 제2 스페이서 재료(404)는 반도체 구조체(200") 위에 증착되고, 도 18에 도시된 바와 같이 제1 스페이서 재료(414), 제1 소모성 재료(412) 및 제2 소모성 재료(404)를 노출시키도록 평탄화될 수 있다. 제2 스페이서 재료(404)의 두께는 접지된 게이트의 임계 치수를 정의할 수 있다. 제1 스페이서 재료(414) 및 제2 스페이서 재료(404)는 서브리소그래픽 폭을 가질 수 있다.
도 19에 도시된 바와 같이, 제1 소모성 재료(412) 및 제2 소모성 재료(402)는 종래의 기술들에 의해 선택적으로 제거될 수 있다. 본 발명의 일 실시예에서, 제1 소모성 재료(412) 및 제2 소모성 재료(402)는 비정질 탄소이며, 종래의 방법에 의해, 예를 들어, SO2 함유 플라즈마를 사용하여 제거된다. 그후, 제1 스페이서 재료(414) 및 제2 스페이서 재료(404)는 도 20에 도시된 바와 같이 전도성 라인들(425)을 형성하도록 종래의 에치 동안 마스크로서 기능할 수 있다. 전도성 라인(425)은 워드 라인(425') 및 접지된 게이트(425")로서 기능할 수 있다. 이웃하는 워드 라인들(425') 사이의 간극은 아래에 배설된 활성 영역들 사이의 간극에 비해 비대칭적이다. 본 발명의 일 실시예에서, 전도성 라인들(425)은 서브리소그래픽 폭을 갖는다.
반도체 구조(200', 200", 200'")를 형성하기 위해 본 발명의 실시예의 방법을 사용함으로써 다수의 장점이 달성된다. 본 명세서에 설명된 방법은 어레이 게이트 패터닝시 6F2 아키택쳐의 피치 배수화를 수용한다. 본 명세서에 설명된 방법은 리소그래피의 스케일링을 필요로 하지 않고도 현저히 스케일링되는 6F2 아키택쳐를 수용한다. 이 때문에, 서브리소그래픽 형상부들은 포토리소그래피 및 에치 트림 기능에 독립적으로 형성될 수 있다. 또한, 본 발명의 실시예의 방법은 비균등 라인 간격 상의 비대칭 형상부들의 피치 배수화를 제공한다. 본 명세서에 설명된 방법은 예로서, 커패시터와 같은 선형 자체-정렬 컨택 형상부들, 디지트 라인들 또는 기타 서브리소그래픽 형상부들을 형성하기 위해 다른 제조 프로세스들 동안 사용될 수도 있다는 것을 이해할 수 있을 것이다. 반도체 구조체들(200', 200", 200'")은 도 2에 도시된 메모리 어레이(100)를 제조하기 위해 종래의 프로세싱 작용들을 받을 수 있다. 메모리 어레이(100)를 형성하기 위한 부가적 프로세싱 작용들은 통상적인 것들이기 때문에, 이들 작용들은 본 명세서에 상세히 설명되어 있지 않다.
본 발명은 도면에 예로서 도시되고 본 명세서에서 상세히 설명된 특정 실시예에 추가로 다양한 변형 및 대안적 형태를 가질 수 있다. 따라서, 본 발명은 설명된 특정 형태에 제한되지 않는다. 오히려, 본 발명의 범주는 하기의 첨부된 청구범위 및 그 법적 균등물들 내에 포함되는 모든 대안 및 변형을 포함한다.

Claims (18)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 반도체 구조체로서,
    격리 영역들에 의해 분리된 복수의 활성 영역을 포함하고, 상기 복수의 활성 영역은 그 내부에 최소 형상부 크기 미만의 폭을 각각 갖는 리세스형 억세스 디바이스 트렌치들을 포함하고, 트랜지스터 게이트는 상기 리세스형 억세스 디바이스 트렌치들 각각의 내부에 있고, 상기 트랜지스터 게이트들의 외측 옆의(laterally outward) 상기 복수의 활성 영역의 폭은 상기 최소 형상부 크기 미만인 반도체 구조체.
  6. 반도체 구조체로서,
    격리 영역들에 의해 분리된 복수의 활성 영역 - 상기 복수의 활성 영역은 그 내부에 최소 형상부 크기 미만의 폭을 각각 갖는 리세스형 억세스 디바이스 트렌치들을 포함함 -;
    리세스형 억세스 디바이스 트렌치들 내의 전도성 게이트 재료; 및
    상기 복수의 활성 영역과 상기 리세스형 억세스 디바이스 트렌치들의 외측 위의 복수의 전도성 라인 - 상기 전도성 라인들 중 일부의 전도성 라인들은 상기 리세스형 억세스 디바이스 트렌치들 내의 상기 전도성 게이트 재료에 연결됨 -
    을 포함하는 반도체 구조체.
  7. 제6항에 있어서,
    상기 전도성 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  8. 제6항에 있어서,
    상기 전도성 라인들은 상기 리세스형 억세스 디바이스 트렌치들과 평행으로 배열되는 반도체 구조체.
  9. 반도체 구조체로서,
    격리 영역들에 의해 분리된 복수의 활성 영역 - 상기 복수의 활성 영역은 그 내부에 최소 형상부 크기 미만의 폭을 각각 갖는 리세스형 억세스 디바이스 트렌치들을 포함함 -;
    상기 리세스형 억세스 디바이스 트렌치들 내의 전도성 게이트 재료; 및
    상기 복수의 활성 영역과 리세스형 억세스 디바이스 트렌치들의 외측 위의 복수의 전도성 라인 - 상기 전도성 라인들 중 일부의 전도성 라인들은 상기 리세스형 억세스 디바이스 트렌치들 내의 상기 전도성 게이트 재료에 연결되고, 상기 전도성 라인들 중 다른 일부의 전도성 라인들은 상기 리세스형 억세스 디바이스 트렌치들 내의 상기 전도성 게이트 재료에 연결되지 않음 -
    을 포함하는 반도체 구조체.
  10. 제9항에 있어서,
    상기 전도성 라인들 중 다른 일부의 전도성 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  11. 제9항에 있어서,
    상기 전도성 라인들 중 다른 일부의 전도성 라인들은 접지된 게이트 라인들을 포함하는 반도체 구조체.
  12. 제11항에 있어서,
    상기 접지된 게이트 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  13. 반도체 구조체로서,
    격리 영역들에 의해 분리된 복수의 활성 영역 - 상기 복수의 활성 영역은 그 내부에 최소 형상부 크기 미만의 폭을 각각 갖는 리세스형 억세스 디바이스 트렌치들을 포함함 -;
    상기 리세스형 억세스 디바이스 트렌치들 내의 전도성 게이트 재료; 및
    상기 복수의 활성 영역과 리세스형 억세스 디바이스 트렌치들의 외측 위의 복수의 전도성 라인 - 상기 전도성 라인들 중 일부의 전도성 라인들은 상기 리세스형 억세스 디바이스 트렌치들 내의 상기 전도성 게이트 재료에 연결되고, 일부의 게이트 라인들은 워드 라인들을 포함하고, 상기 복수의 전도성 라인은 접지된 게이트 라인들을 포함하는 상기 전도성 라인들 중 다른 일부의 전도성 라인들을 더 포함하고, 상기 워드 라인들 중 2개의 워드 라인들은 상기 접지된 게이트 라인들 중 2개의 바로 인접하는 게이트 라인들 사이에 수용됨 -
    을 포함하는 반도체 구조체.
  14. 제13항에 있어서,
    상기 접지된 게이트 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  15. 제13항에 있어서,
    상기 워드 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  16. 제13항에 있어서,
    상기 워드 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖고, 상기 접지된 게이트 라인들은 상기 최소 형상부 크기 미만의 폭들을 갖는 반도체 구조체.
  17. 제5항에 있어서,
    상기 격리 영역들은 전도성 라인들을 포함하는 반도체 구조체.
  18. 제5항에 있어서,
    상기 격리 영역들은 유전체 재료를 포함하는 반도체 구조체.
KR1020137000045A 2008-05-05 2009-04-07 반도체 구조체 KR101349989B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/114,932 2008-05-05
US12/114,932 US7989307B2 (en) 2008-05-05 2008-05-05 Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
PCT/US2009/039793 WO2009137210A2 (en) 2008-05-05 2009-04-07 Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027267A Division KR101262471B1 (ko) 2008-05-05 2009-04-07 반도체 구조체 내에 격리된 활성 영역, 트렌치, 및 전도성 라인을 형성하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20130007678A KR20130007678A (ko) 2013-01-18
KR101349989B1 true KR101349989B1 (ko) 2014-01-13

Family

ID=41256553

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137000045A KR101349989B1 (ko) 2008-05-05 2009-04-07 반도체 구조체
KR1020107027267A KR101262471B1 (ko) 2008-05-05 2009-04-07 반도체 구조체 내에 격리된 활성 영역, 트렌치, 및 전도성 라인을 형성하기 위한 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020107027267A KR101262471B1 (ko) 2008-05-05 2009-04-07 반도체 구조체 내에 격리된 활성 영역, 트렌치, 및 전도성 라인을 형성하기 위한 방법

Country Status (7)

Country Link
US (4) US7989307B2 (ko)
EP (1) EP2274768A4 (ko)
KR (2) KR101349989B1 (ko)
CN (1) CN102017073B (ko)
SG (1) SG188110A1 (ko)
TW (1) TWI476815B (ko)
WO (1) WO2009137210A2 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8470654B2 (en) 2010-02-23 2013-06-25 Micron Technology, Inc. Methods of forming an electrically conductive buried line and an electrical contact thereto and methods of forming a buried access line and an electrical contact thereto
KR101150639B1 (ko) * 2010-06-17 2012-07-03 에스케이하이닉스 주식회사 반도체 소자의 패턴 형성 방법
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
US8293602B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Method of fabricating a finFET having cross-hair cells
US9048327B2 (en) * 2011-01-25 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Microcrystalline semiconductor film, method for manufacturing the same, and method for manufacturing semiconductor device
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
JP5818710B2 (ja) * 2012-02-10 2015-11-18 東京応化工業株式会社 パターン形成方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20140054756A1 (en) * 2012-08-23 2014-02-27 Michael Hyatt Anti spacer process and semiconductor structure generated by the anti spacer process
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
CN103928392B (zh) * 2013-01-10 2017-05-17 中芯国际集成电路制造(上海)有限公司 局部互连结构的制作方法
TWI645254B (zh) 2013-01-14 2018-12-21 美國加利福尼亞大學董事會 具經控制之總成及提升排序之含矽嵌段共聚物之組合物
US10128250B2 (en) 2013-04-01 2018-11-13 Longitude Licensing Limited Semiconductor device and manufacturing method thereof
US8993419B1 (en) 2013-10-03 2015-03-31 Applied Materials, Inc. Trench formation with CD less than 10 NM for replacement Fin growth
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9315637B2 (en) 2013-12-20 2016-04-19 The Regents Of The University Of California Junction-functionalized block copolymers
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9564342B2 (en) 2014-09-26 2017-02-07 Tokyo Electron Limited Method for controlling etching in pitch doubling
CN105826379B (zh) * 2015-01-08 2020-06-09 联华电子股份有限公司 半导体结构及其制作方法
US9496399B2 (en) * 2015-04-02 2016-11-15 International Business Machines Corporation FinFET devices with multiple channel lengths
US9472664B1 (en) 2015-07-19 2016-10-18 Inotera Memories, Inc. Semiconductor device and manufacturing method thereof
EP3153463B1 (en) 2015-10-08 2018-06-13 IMEC vzw Method for producing a pillar structure in a semiconductor layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
TWI690056B (zh) * 2016-04-27 2020-04-01 聯華電子股份有限公司 靜態隨機存取記憶體單元陣列及其形成方法
JP6272949B2 (ja) * 2016-06-06 2018-01-31 東京エレクトロン株式会社 パターン形成方法
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US11171057B2 (en) 2016-12-30 2021-11-09 Intel Corporation Semiconductor fin design to mitigate fin collapse
US10199265B2 (en) 2017-02-10 2019-02-05 Globalfoundries Inc. Variable space mandrel cut for self aligned double patterning
CN213026126U (zh) * 2020-09-15 2021-04-20 福建省晋华集成电路有限公司 有源区域结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030060933A (ko) * 2000-11-15 2003-07-16 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 게이트 도전체 정의 방법
KR20040012972A (ko) * 2001-07-02 2004-02-11 인터내셔널 비지네스 머신즈 코포레이션 메모리 어레이 및 지지 트랜지스터의 형성 방법, 및 이중일함수 지지 트랜지스터 및 매립형 dram 어레이를포함하는 반도체 장치
KR20040016678A (ko) * 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
KR20050101318A (ko) * 2003-01-22 2005-10-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 디바이스 어레이 및 그 제조 방법

Family Cites Families (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531Y2 (ko) 1976-11-30 1981-10-30
JPS58157135U (ja) 1982-04-17 1983-10-20 柳田 信義 指圧板
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPH0677180B2 (ja) 1985-07-02 1994-09-28 スタンレー電気株式会社 立体画像の表示装置
JPS6435916U (ko) 1987-08-28 1989-03-03
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US6249335B1 (en) 1992-01-17 2001-06-19 Nikon Corporation Photo-mask and method of exposing and projection-exposing apparatus
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
DE19526011C1 (de) * 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR20030049196A (ko) 2001-12-14 2003-06-25 한국전력공사 심야전력공급용 마그네트스위치의 충격 흡수 장치
KR100569536B1 (ko) 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6548401B1 (en) 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
JP3976598B2 (ja) 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6756619B2 (en) 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
KR20040025289A (ko) 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) * 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
US6905975B2 (en) 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
KR100548998B1 (ko) 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) * 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7037840B2 (en) 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100577562B1 (ko) * 2004-02-05 2006-05-08 삼성전자주식회사 핀 트랜지스터 형성방법 및 그에 따른 구조
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100640587B1 (ko) 2004-09-23 2006-11-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
JP2006243681A (ja) 2005-02-07 2006-09-14 Nitto Denko Corp 偏光子保護フィルム、偏光板、および画像表示装置
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7166533B2 (en) 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
EP1880410A2 (en) 2005-05-13 2008-01-23 Sachem, Inc. Selective wet etching of oxides
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US20060288795A1 (en) * 2005-06-27 2006-12-28 Vishay Measurements Group, Inc. Strain gage with off axis creep compensation feature
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
JP4125311B2 (ja) 2005-08-30 2008-07-30 株式会社東芝 ロボットおよびマニピュレータ
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20070085152A1 (en) 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7768055B2 (en) 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
CN101026067A (zh) 2006-02-17 2007-08-29 乐金电子(南京)等离子有限公司 等离子显示器
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7759253B2 (en) 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US7790357B2 (en) 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
WO2008059440A2 (en) 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US20080120900A1 (en) * 2006-11-29 2008-05-29 Femo Operations, Lp Systems and Methods for Repelling and/or Killing Pests Using Mulch
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
JP2010511915A (ja) 2006-12-06 2010-04-15 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 二重パターン形成プロセスを利用した装置製造プロセス
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101073858B1 (ko) 2007-06-08 2011-10-14 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074958A1 (en) 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
JP2010087301A (ja) 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) * 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
JP2013534542A (ja) 2010-06-04 2013-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 自己組織化可能な重合体及びリソグラフィにおける使用方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030060933A (ko) * 2000-11-15 2003-07-16 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 게이트 도전체 정의 방법
KR20040012972A (ko) * 2001-07-02 2004-02-11 인터내셔널 비지네스 머신즈 코포레이션 메모리 어레이 및 지지 트랜지스터의 형성 방법, 및 이중일함수 지지 트랜지스터 및 매립형 dram 어레이를포함하는 반도체 장치
KR20040016678A (ko) * 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
KR20050101318A (ko) * 2003-01-22 2005-10-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 디바이스 어레이 및 그 제조 방법

Also Published As

Publication number Publication date
US8629527B2 (en) 2014-01-14
US20110266647A1 (en) 2011-11-03
KR101262471B1 (ko) 2013-05-08
SG188110A1 (en) 2013-03-28
TWI476815B (zh) 2015-03-11
TW200952041A (en) 2009-12-16
US8901700B2 (en) 2014-12-02
KR20110014633A (ko) 2011-02-11
US20140097499A1 (en) 2014-04-10
US7989307B2 (en) 2011-08-02
CN102017073A (zh) 2011-04-13
WO2009137210A2 (en) 2009-11-12
CN102017073B (zh) 2014-04-09
US20090273051A1 (en) 2009-11-05
EP2274768A4 (en) 2013-07-31
KR20130007678A (ko) 2013-01-18
WO2009137210A3 (en) 2009-12-30
US20150069505A1 (en) 2015-03-12
EP2274768A2 (en) 2011-01-19
US9171902B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
KR101349989B1 (ko) 반도체 구조체
US10840097B2 (en) Semiconductor methods and devices
JP5391423B2 (ja) 解像度以下のケイ素フィーチャおよびそれを形成するための方法
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
EP2095402B1 (en) Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions
US8685859B2 (en) Self-aligned semiconductor trench structures
US9385132B2 (en) Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US20080113483A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US10439048B2 (en) Photomask layout, methods of forming fine patterns and method of manufacturing semiconductor devices
US11769672B2 (en) Semiconductor structure and forming method thereof
CN114373713A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191223

Year of fee payment: 7