KR20090095604A - 이중 패터닝 공정을 이용한 장치 제조 방법 - Google Patents

이중 패터닝 공정을 이용한 장치 제조 방법 Download PDF

Info

Publication number
KR20090095604A
KR20090095604A KR1020097013314A KR20097013314A KR20090095604A KR 20090095604 A KR20090095604 A KR 20090095604A KR 1020097013314 A KR1020097013314 A KR 1020097013314A KR 20097013314 A KR20097013314 A KR 20097013314A KR 20090095604 A KR20090095604 A KR 20090095604A
Authority
KR
South Korea
Prior art keywords
photosensitive composition
exposure
fixer
group
imaged
Prior art date
Application number
KR1020097013314A
Other languages
English (en)
Inventor
데이브 브르조조위
토마스 알. 사루비
산제이 말릭
그레고리 스파지아노
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20090095604A publication Critical patent/KR20090095604A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/7045Hybrid exposures, i.e. multiple exposures of the same area using different types of exposure apparatus, e.g. combining projection, proximity, direct write, interferometric, UV, x-ray or particle beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

a) 반사방지 코팅(antireflective coating) 또는 하지층(underlayer)을 구비한 코팅된 반도체 기판을 제공하는 단계, b) 제1코팅 단계에서, 이중층 스택(bilayer stack)을 생성하기 위하여 제1감광성 조성물(first photosensitive composition)을 상기 코팅된 반도체 기판상에 적용하는 단계, c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라(imagewise manner) 화학방사선(actinic radiation)에 노광시켜 제1패턴을 생성하는 단계, d) 릴리프 이미지를 포함하는 이미지화된(imaged) 이중층 스택을 생성하기 위하여 수성 현상액(aqueous base developer)으로 상기 노광된 제1감광성 조성물을 현상하는 단계, e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계, f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액(fixer solution)을 적용하는 단계, g) 선택적인 베이킹(bake) 단계를 적용하는 단계, h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계, i) 두 번째 선택적인 베이킹 단계를 적용하는 단계, j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계, k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜 상기 제2노광패턴이 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계, l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및 m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계;를 포함하며, 여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성(aqueous base solubility)이 증가하는 실질적으로 수성인 불용성 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 정착 화합물(polyfunctional fixer compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재하는, 다중 노광 패터닝 공정(multiple exposure patterning process)을 이용한 반도체 장치의 제조 방법.

Description

이중 패터닝 공정을 이용한 장치 제조 방법{DEVICE MANUFACTURING PROCESS UTILIZING A DOUBLE PATTERNING PROCESS}
본 발명은 반도체 장치의 제조 방법에 관한 것이다. 특히, 반도체 장치의 제조에 있어서 릴리프 이미지(relief image)를 제조하는 다중 노광 패터닝 공정에 관한 것으로, 여기서 반도체 기판은 제1코팅 단계로부터 적어도 최종노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재한다.
IC 산업에서 점점 작아지는 임계 면적(critical dimension, CD)을 인쇄하는 추세이다. 집적회로 내의 임계 면적은 레티클(reticle) 또는 마스크 패턴 및 영상을 상기 레티클로부터 기판상에 투영하는 노광도구에 의해 정의된다. 반도체 장치의 크기 감소 추세를 따라가려면 상기 노광도구 내에서 사용되는 조명파장은 감소하고 있고 상기 노광도구 내에서 사용되는 개구수(NA)는 증가하고 있다.
일반적으로 촬영시스템(imaging system)의 해상도는 하기 식들에 표현될 수 있다고 알려져 있다:
해상도 = k1*(람다/NA)
상기 식에서 람다는 노광시키는 빛의 파장이고, NA는 투영렌즈의 개구수이 며, k1은 상기 공정과 관련된 계수이다.
해상도를 높이는 공지된 방법은 보다 짧은 파장을 갖는 노광원(exposure source)을 이용하는 것이다. 13.5nm 범위의 노광파장의 EUV 공급원을 주입하기 위한 개발을 하고 있다. 이 방법은 포토레지스트 시스템의 미성숙과 EUV 도구와 관련된 공급원 한계로 인해 이 시장에 천천히 도달하여 왔다. EUV 시스템의 원하는 출력은 생산용도에 대해 180와트(Watt)를 목적으로 한다. 현재 시스템들은 단지 20-40와트의 출력전력을 생산할 수 있는데, 이것은 생산용도로 비현실적이다. 현재 이 기술과 관련된 논점들을 해결하는데 필요한 시간은 다음 세대 32nm 노드 요구조건들에는 이용가능하지 않을 것이다.
상기 과정과 관련된 k1 계수의 감소는 해상도를 향상시키는 다른 공지된 방법이다. 단일 노광 공정에 대한 k1 계수는 인쇄 고밀도 구조들의 회절 한계로 인해 대략 0.25의 값으로 제한된다.
최근에는 상기 k1 계수를 감소시키기 위한 이중 노광 방법을 이용한 다른 접근으로 개발되었다. 상기 k1 계수는 0.14로 감소할 수 있으며, 이것은 해상도를 상당히 증가시킬 수 있다. 0.14의 k1 계수의 상기 이중노광법은 2006 후지 인터페이스 심포지움(FUJIFILM Interface 2006 symposium)에서 IMEC에 의해 보고되었다. 리소그래피-식각-리소그래피-식각 이중 노광법(litho-etch-litho-etch double exposure process)을 이용하여 생산된 65nm 피치(pitch)를 갖는 32nm 제품들(feature)이 소 개되었다. 도 1은 이 과정을 개략적으로 보여준다. 상기 기술은 우선 원하는 최종 이미지보다 낮은 밀도의 제1이미지패턴을 생성하는 단계를 따른다. 다양한 단계들 이후, 제2패터닝서열이 실행되어 비슷한 저밀도의 제2이미지패턴을 생성하는데, 이것은 제1이미지패턴으로부터 특정 거리 만큼 상쇄되고 원래의 패턴특성들 중 산재된 특성들을 포함한다. 상기 두 개의 패터닝 서열들은 원하는 밀도에서 특성들을 제공한다. 원하는 패턴 밀도를 생성하기 위해, 마스크 정렬 및 오버레이(overlay)의 매우 조밀한 제어가 유지되어야 한다.
상기 기재된 종래 기술 공정은 다수의 코팅 단계들 및 두 개의 노광 단계들뿐만 아니라, 2 BARC 식각, 하드마스크 식각 및 기판 식각 단계가 요구된다. 상기 식각 단계들은 리소그래피 클러스터(cluster)를 남기는 과정의 기판을 요구하고 결과적으로 보다 복잡해지고 오염에 대해 보다 잠재적이며, 처리량(throughput)이 느려지고 가격은 높아진다.
유사한 이중 노광 공정이 문헌 [참조:Society of Photo-Optical Instrumentation Engineers, 5754, 1513(2005)]에도 공개되어있다. 상기 공정은 하드마스크를 이용하지 않았지만 두 가지 기판 식각 단계들이 요구되었다.
본 발명의 목적은 고해상도, 다중 노광 패터닝 공정을 제공하는 것으로 상기 공정은 제1코팅 단계로부터 적어도 최종노광 단계 이후까지 리소그래피 셀 내에서의 과정 중 기판(substrate-in-process)을 유지하면서 k1를 감소시킨다. 그러나 이러한 접근에 대해 여러 가지 기술적인 문제들이 있다. 대체로, 이러한 접근은 상당 량의 상기 최초 포토레지스트를 용해하거나 상기 고해상도 이미지들의 품질을 저하시키지 않고, 고품질 코팅들(coatings)의 유기 물질의 하나 이상의 추가층들을 제조하고, 그 추가층들을 상기 최초로 패터닝된 포토레지스트 상에서 리소그래피 처리하는 능력을 요구한다. 또한, 상기 과정들에 사용된 물질들은 상기 존재하는 제조 폐기물 스트림(manufacturing waste stream)과 호환가능해야하며 리소그래피 클러스터의 오염제어환경에서 사용가능해야한다. 이것을 실현하기 위한 상기 물질들 및 과정들은 명백하지 않다.
본원에서 참조된 미국 특허 5,173,393호, 7,033,740호, 6,998,215호, 6,899,997호, 6,893,972호, 6,770,423호, 6,703,190호, 5,250,375호, 7,045,274호 및 7,067,234호는 레지스트 이미지를 화학용액으로 처리하여 상기 레지스트 이미지의 특정 특성들을 변화시키는 방법들을 설명하고 있다. 그러나 이 기술은 초고해상도 이미지화(imaging)를 위한 이중 노광 공정들에서 사용된 적이 없다.
발명의 요약
본 발명은 반도체 장치의 제조에서 사용되는 릴리프 이미지들(relief image)을 제조하기 위한 다중 노광 패터닝 공정(multiple exposure patterning process)에 관한 것이다. 본 발명은 다중 노광 패터닝 공정을 이용한 반도체 장치의 제조 방법에 관한 것으로 다음 단계들을 포함한다:
a) 반사방지 코팅(antireflective coating) 또는 하지층(underlayer)을 구비한 코팅된 반도체 기판을 제공하는 단계,
b) 제1코팅 단계에서, 이중층 스택(bilayer stack)을 생성하기 위하여 제1감광성 조성물(first photosensitive composition)을 상기 코팅된 반도체 기판상에 적용하는 단계,
c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라(imagewise manner) 화학방사선(actinic radiation)에 노광시켜 제1패턴을 생성하는 단계,
d) 릴리프 이미지를 포함하는 이미지화된(imaged) 이중층 스택을 생성하기 위하여 수성 현상액(aqueous base developer)으로 상기 노광된 제1감광성 조성물을 현상하는 단계,
e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액(fixer solution)을 적용하는 단계,
g) 선택적인 베이킹(bake) 단계를 적용하는 단계,
h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
i) 두 번째 선택적인 베이킹 단계를 적용하는 단계,
j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계,
k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜 상기 제2노광패턴의 배치(placement)가 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계,
l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및
m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계;
여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성(aqueous base solubility)이 증가하는 실질적으로 수성인 불용성 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 정착 화합물(polyfunctional fixer compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재한다.
발명의 상세한 설명
용어의 정의
본 발명에서, 용어 "다중층"은 적어도 세 개의 필름층을 의미할 것이다. 정착기(fixer group)는 감광성 조성물의 중합체 상에서 앵커기와 반응하는 처리용액(정착액)에 사용된 화합물들의 반응성기로 정의된다. 앵커기는 상기 정착기와 반응하는 포토레지스트 중합체의 작용기로 정의된다. 용어들 "포토레지스트", "레지스트" 및 "감광성 조성물"은 교체 가능하게 사용된다. 용어 "이미지층(imaging layer)"은 상기 기판 또는 상기 기판상의 다양한 코팅(들)의 상부에 상기 포토레지스트/감광성 조성물/레지스트의 코팅(coating)을 의미한다. 용어들 "코팅" 및 "필름"은 교체 가능하게 사용될 수 있다. 달리 정의하지 않는 한, 용어 "%"는 질량%를 의미한다.
용어 "리소그래피 셀"은 상기 리소그래피 셀의 더욱 정화되고 깨끗한 분위기를 방치하지 않고, 다음 처리 단계에서 상기 반도체 기판이 하나의 모듈에서 다른 모듈로 이동할 수 있도록 서로 연결된 처리 모듈들의 그룹을 가리킨다. 전형적인 리소그래피 셀은 적어도 하나의 노광계(exposure system), 코팅 및 에지비드제거(edge bead removal)를 위한 스핀 코팅 모듈들(spin coating module), 베이크 모듈들(bake module) 및 현상 모듈들을 포함한다.
본 발명은 반도체 장치의 제조에서 사용되는 릴리프 이미지들을 제조하기 위한 다중 노광 패터닝 공정(multiple exposure patterning process)에 관한 것이다. 본 발명은 다중 노광 패터닝 공정을 이용한 반도체 장치의 제조 방법에 관한 것으로 다음 단계들을 포함한다:
a) 반사방지 코팅(antireflective coating) 또는 하지층(underlayer)을 구비한 코팅된 반도체 기판을 제공하는 단계,
b) 제1코팅 단계에서, 이중층 스택(bilayer stack)을 생성하기 위하여 제1감광성 조성물(first photosensitive composition)을 상기 코팅된 반도체 기판상에 적용하는 단계,
c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라(imagewise manner) 화학방사선(actinic radiation)에 노광시켜 제1패턴을 생성하는 단계,
d) 릴리프 이미지를 포함하는 이미지화된(imaged) 이중층 스택을 생성하기 위하여 수성 현상액(aqueous base developer)으로 상기 노광된 제1감광성 조성물을 현상하는 단계,
e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액(fixer solution)을 적용하는 단계,
g) 선택적인 베이킹(bake) 단계를 적용하는 단계,
h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
i) 두 번째 선택적인 베이킹 단계를 적용하는 단계,
j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계,
k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜 상기 제2노광패턴의 배치(placement)가 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계,
l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및
m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계,
여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성(aqueous base solubility)이 증가하는 실질적으로 수성인 불용성 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 정착 화합물(polyfunctional fixer compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재한다.
바람직한 실시예에서, 본 발명은 다중 노광 패터닝 공정으로, 다음 단계들을 포함한다:
a) 경화하지층(cured underlayer, UL)으로 코팅된, 코팅된 반도체 기판을 제공하는 단계,
b) 제1코팅 단계에서, 이중층 스택을 생성하기 위하여 제1감광성 조성물을 상기 코팅된 반도체 기판상에 적용하는 단계,
c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라 화학방사선에 노광시켜 제1패턴을 생성하는 단계,
d) 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 생성하기 위하여 수성 현상액으로 상기 노광된 제1감광성 조성물을 현상하는 단계,
e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액을 적용하는 단계,
g) 선택적인 베이킹(bake) 단계를 적용하는 단계,
h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
i) 두 번째 선택적인 베이킹 단계를 적용하는 단계,
j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계,
k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜, 상기 제2노광패턴의 배치가 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계,
l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및
m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계;
여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성이 증가하는 실질적으로 수성인 불용성, 실리콘 함유 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 화합물(polyfunctional compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀 내에 존재한다.
이러한 바람직한 실시예에 대해, 도 2는 두 개의 노광 단계들을 이용한 본 발명의 상기 다중 패터닝 공정의 예의 개요를 제공한다. 도 2의 1로 표시된 그림은 상기 하지층 및 이미지층(포토레지스트를 포함한 실리콘)이 상기 기판상에 코팅된 이후의 경우를 보여준다. 도 2의 2로 표시된 그림은 제1리소그래피 공정 단계들 이후의 처리된 기판을 보여준다. 상기 이미지층은 상기 하지층 상에서 패터닝되었다. 도 2의 3으로 표시된 그림은 상기 정착제 처리 공정 이후의 경우를 보여준다. 상기 레지스트 패턴의 노광된 표면들은 가교되었다. 도 2의 4로 표시된 그림은 상기 제2감광성 조성물 코팅층 제조 이후의 경우를 보여준다. 도 2의 5로 표시된 그림은 상기 제2감광성 조성물 코팅층의 노광 및 현상 이후의 경우를 보여준다. 도 2의 6으로 표시된 그림은 상기 이중 패터닝된 포토레지스트 스택(double patterned photoresist stack)이 하지층 식각 단계에 사용된 이후의 경우를 보여준다. 도 2의 7로 표시된 그림은 상기 기판 식각 단계 이후의 경우를 보여준다. 도 2의 8로 표시된 그림은 상기 하지층이 스트리핑된(stripped) 이후의 경우를 보여준다.
상기 반도체 기판은, 예를 들면, 실리콘 웨이퍼(silicon wafer), 화합물 반도체(III-V) 또는 (II-VI) 웨이퍼, 세라믹, 유리 또는 석영 기판과 같은 반도체 물질들일 수 있다. 이러한 기판들은 필름(예를 들면, 하드마스크), 또는 유기유전체 또는 무기유전체, 구리 또는 기타 배선용 금속과 같은 전자회로 제조에 사용되는 구조물들을 포함할 수 있다.
상기 기판을 선택적으로 탈수 베이킹할 수 있었다. 이러한 탈수베이킹(dehydration bake)은 일반적으로 가열 방법에 따라 약 1분 내지 약 30분 동안 대기압 또는 진공하에 200℃ 이상에서 가열하여 수행된다. 당업자에게 공지된 임의의 적당한 가열 방법이 사용될 수 있다. 적당한 가열 수단의 예는, 이로 제한됨이 없이, 핫 플레이트(hot plate), 대류식오븐(convection oven) 또는 진공오븐(vacuum oven)을 포함한다.
상기 기판은 또한 적당한 용매로 사전흡수(pre-wetting) 단계의 대상이 될 수도 있었다. 상기 기판을 당업자들에게 공지된 용매로 처리하는 임의의 적당한 방법이 사용될 수 있다. 예를 들면, 상기 기판을 용매로 넓게 분사(spraying), 좁게 분사(streaming) 또는 상기 용매에 담가서 처리한다. 처리하는 시간 및 온도는 특정 기판, 및 방법에 따라 좌우되며, 이는 상승하는 온도를 이용할 수 있다. 임의의 적당한 용매 또는 용매혼합물(solvent blend)이 사용될 수 있다. 상기 감광성 조성물의 구성요소들을 용해시킬 수 있는 용매들이 바람직하다.
또한, 상기 기판은 선택적으로 접착촉진제(adhesion promoter)로 처리될 수도 있었다. 이 과정은 통상적으로 프라이밍(priming)이라고 한다. 상기 기판을 당업자들에게 공지된 접착촉진제로 처리하는 임의의 적당한 방법이 사용될 수 있다. 예를 들면, 상기 기판은 접착촉진제 증기로 처리하거나, 상기 기판을 넓게 분사(spraying), 좁게 분사(streaming), 액침(immersing) 또는 침지(dipping)하여 상기 접착촉진제에 접촉시킨다. 처리하는 시간 및 온도는 특정 기판, 접착촉진제, 및 방법에 따라 좌우되며, 이는 상승하는 온도를 이용할 수 있다. 상기 기판상에 접착촉진제층을 적용하는 바람직한 처리 방법은 증기 프라이밍(vapor priming)이다. 임의의 적당한 외부 접착촉진제가 사용될 수 있다. 접착촉진제는 헥사알킬디실란(hexaalkyldisilane) 함유 접착촉진제인 것이 바람직하다. 상기 접착촉진제는 헥사메틸디실란(hexamethyldisilane)을 함유하는 것이 보다 바람직하다. 추가의 적당한 접착촉진제들은 문헌 [참조:"Silane Coupling Agent" Edwin P. Plueddemann, 1982 Plenum Press, New York]에 기재되어 있다.
이 바람직한 실시예에서, 상기 기판을 하지층으로 코팅한다. 하지층들은 이중층 레지스트 시스템(bilayer resist system)에서 사용되어 주로 이미지를 상기 기판으로 전달하는 식각 마스크(etch mask)를 제공한다. 하지층들은 정상파효과(standing wave effects)를 감소시키는 화학선(actinic light) 대부분을 흡수한다. 또한, 하지층들은 상기 레지스트/기판 계면(interface)에서 상기 산 촉매제의 비활성화를 방지한다. 게다가, 하지층들은 다음 리소그래피 단계 이전에 상기 기판을 실질적으로 평탄화할 수 있다.
상기 하지층을 상기 기판상에 적용하는 임의의 적당한 방법이 사용될 수 있다. 코팅 방법들은, 이로 제한됨 없이, 분무 코팅(spray coating), 스핀 코팅(spin coating), 오프셋 인쇄(offset printing), 롤러 코팅(roller coating), 스크린 인쇄(screen printing), 분출 코팅(extrusion coating), 메니스커스 코팅(meniscus coating), 커튼 코팅(curtain coating), 침지 코팅(dip coating), 및 액침 코팅(immersion coating)을 포함한다.
상기 코팅 단계 이후, 하지층 조성물의 점착성 필름(tacky film)을 베이킹하여 경화시킨다. 상기 베이킹은 하나 이상의 단계들로 일정한 온도 또는 다양한 온도에서 실행될 수 있다. 베이킹은 핫 플레이트(hot plate) 또는 당업자에게 공지된 다양한 종류의 오븐에서 실행 될 수 있다. 적당한 오븐으로는 열처리된 오븐, 열처리된 진공오븐(vacuum oven), 및 적외선 오븐(infrared oven) 또는 적외선추적모듈(infrared track module)이다. 통상적으로 베이킹에 소요되는 시간은 선택된 베이킹 수단 및 원하는 시간과 온도에 따라 좌우될 것이고, 당업자에게 공지될 것이다. 베이킹의 바람직한 방법은 핫 플레이트 상에서 수행하는 것이다. 두 단계 공정을 이용하여 핫 플레이트 상에서 베이킹 할 때, 일반적으로 약 80℃ 내지 130℃의 온도에서 약 0.5분 내지 약 5분의 시간이 걸리며, 이어서 약 170℃ 내지 약 250℃ 사이의 온도에서 약 0.5분 내지 약 5분 동안 경화단계가 수행된다. 하나의 단계 공정에서, 상기 하지층 필름을 일반적으로 약 170℃ 내지 약 250℃ 사이의 온도에서 약 0.5분 내지 약 5분 동안 경화시킨다. 상기 하지층-코팅 기판은 이어서 냉각된다. 바람직하게는, 상기 열경화 중합체 조성물(thermally curable polymer composition)을 약 150℃ 내지 약 250℃ 사이의 온도, 보다 바람직하게는 180℃ 내지 220℃ 사이의 온도에서 경화시킨다. 경화 시간은 약 30초 내지 180초인 것이 바람직하고, 약 60초 내지 약 120초인 것이 보다 바람직하다.
상기 하지층은 상기 이미지층의 리소그래피 패터닝을 가능케 하고 상기 기판을 후속 처리(즉, 식각)에 대해 보호하기에 충분하도록 하기 위한 두께로 존재한다. 상기 하지층 두께는 약 80nm 내지 약 1200nm인 것이 바람직하다. 보다 바람직하게는, 하지층 두께는 약 150nm 내지 약 500nm이다. 바람직한 하지층 두께는 160nm 내지 300nm이다.
상기 하지층은 상기 감광성 조성물의 리소그래피 처리 윈도우를 향상시키는 반사방지 특성(antireflective properties)뿐만 아니라 상기 기판(underlying substrate)에 대한 식각 선택성을 제공할 수 있는 중합체 조성물을 형성하는 임의의 적당한 필름일 수 있다. 하지층들은 일반적으로, 경화가능하고(curable), 수산기(hydroxyl) 함유, 수지 바인더들(resin binder), 가교제들 및 산 발생제들을 포함하여 이루어진다. 이러한 코팅들을 가열할 때, 상기 열산 발생제(thermal acid generator)는 상기 가교제에 양자를 가하는 산을 생성하여 매우 강한 친전자기(electrophilic group)를 얻는다. 이러한 친전자기는 경화된 가교 중합체 매트릭스(cross-linked polymer matrix)를 형성하는 중합체 상의 수산기와 반응한다. 적당한 하지층 조성물의 예는 미국 특허 6,054248호, 6,323,287호, 6,610,808호 및 미국 공개 특허 2005/0238997호에서 알 수 있다. 적당한 수지 바인더는, 이로 제한됨 없이, 페놀 수지(phenolic resin), 폴리(메타)아크릴레이트 수지(poly(meth)acrylate resin), 스티렌-알릴 알코올 공중합 수지(styrene-allyl alcohol copolymer resin), 이소보르닐 메타크릴레이트(isobornyl methacrylate)의 공중합체, 하이드록시스티렌(hydroxystyrene) 및 다환식 중합체(polycyclic polymers)를 포함한다.
하지층 조성물에 사용된 가교제(cross-linker)는 메틸올화된(methylolated) 구아나민(guanamine) 및/또는 메틸올화 및 에테르화된(etherified) 구아나민, 메틸올화된 멜라민(melamine) 및/또는 메틸올화 및 에테르화된 멜라민 등과 같은 아미노 또는 페놀계 기능기들(phenolic functional group)을 포함할 것이다. 적당한 멜라민 가교제의 예로는 헥사메톡시메틸멜라민(hexamethoxymethylmelamine), 트리메톡시메틸멜라민(trimethoxymethylmelamine), 헥사메톡시에틸멜라민(hexamethoxyethylmelamine), 테트라메톡시에틸멜라민(tetramethoxyethylmelamine), 헥사메톡시프로필멜라민(hexamethoxypropylmelamine), 펜타메톡시프로필멜라민(pentamethoxypropylmelamine), 등과 같은 메톡시알킬멜라민이다. 바람직한 멜라민 가교제는 헥사메톡시메틸멜라민(hexamethoxymethylmelamine)이다. 아미노 가교제는 산와케미컬(Sanwa Chemical Co. Ltd., Kanaxawa-ken, Japan)의 MW100LM 멜라민, 및 사이텍(Cytec Industries, West Patterson, New Jersey)의 시멜(Cymel) 30과 파우더링크(Powderlink) 1174인 것이 바람직하다. 적당한 페놀계 가교제들은 미국 특허 5,488,182호 및 6,777,161 호, 및 2005/0238997호에 기재되어 있다. 4,4'-[1,4-페닐렌비스(메틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-에틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-프로필리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-부틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-펜틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-메틸에틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-에틸프로필리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-프로필부틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,4-페닐렌비스(1-부틸 펜틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,3- 페닐렌비스(메틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,3- 페닐렌비스(1-메틸에틸리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,3- 페닐렌비스(1-에틸프로필리덴)]비스(3,5-디하이드록시메틸 페놀), 4,4'-[1,3- 페닐렌비스(1-프로필부틸리덴)]비스(3,5-디하이드록시메틸 페놀) 및 4,4'-[1,3-페닐렌비스(1-부틸펜틸리덴)]비스(3,5-디하이드록시메틸 페놀)은 가교제 전구체(crosslinker precursor)로서 하이드록시메틸-치환된 다관능 페놀(hydroxymethyl-substituted polyfunctional phenol)의 구체적인 예들이다.
본 발명의 하지층 조성물은 하나 이상의 열산 발생제(thermal acid generator, TAG)를 더 포함한다. 본 발명에서 사용된 TAG는 이온성 또는 비이온성 TAG로 분류될 수 있는 잠재성 산 촉매제(latent acid catalyst)이다. 예를 들면, 유기산의 술폰산 에스테르(sulfonic ester)는 비이온성 TAG에 속한다. TAG로 사용되는 비이온성 술포네이트 유도체(sulfonate derivative)의 예는, 이로 제한됨 없이, 사이클로헥실토실레이트(cyclohexyltosylate), 2-니트로벤질 토실레이트(2-nitrobenzyl tosylate), 2-니트로벤질 메틸술포네이트(2-nitrobenzyl methylsulfonate), 2,6-디니트로벤질 p-톨루엔술포네이트(2,6-dinitro benzyl p-toluenesulfonate), 4-디니트로벤질-p-톨루엔술포네이트(4-dinitrobenzyl-p-toluenesulfonate), 1,2,3-트리스(메탄 술포닐옥시)벤젠(1,2,3-tris(methane sulfonyloxy)benzene), 1,2,3-트리스(메탄술포닐옥시)벤젠, 1,2,3-트리스(에탄술포닐옥시)벤젠(1,2,3-tris(ethane sulfonyloxy)benzene), 1,2,3-트리스(프로판술포닐옥시)벤젠(1,2,3-tris(propanesulfonyloxy)benzene), 1,2,3-트리스(트리플루오로메탄 술포닐옥시)벤젠(1,2,3-tris(trifluoromethane sulfonyloxy)benzene), 1,2,3-트리스(p-톨루엔 술포닐옥시)벤젠(1,2,3-tris(p-toluene sulfonyloxy)benzene), 4-니트로벤질 9,10-디메톡시안트라센-2-술포네이트(4-nitrobenzyl 9,10-dimethoxyanthracene-2-sulfonate) 등을 포함한다.
이온성 TAG로 분류된 적당한 잠재성 산 촉매제 TAG는 화학식 IVa로 나타나는 유기산 염을 포함한다:
Figure 112009038749273-PCT00001
상기 식에서 R1, R2 및 R3은 각각 수소 원자, 치환 또는 비치환된 알킬기, 치환 또는 비치환된 사이클로알킬기, 치환 또는 비치환된 지환식기(alicyclic), 부분적으로 또는 완전히 할로겐 치환된 알킬기(partially or completely halogen substituted alkyl), 치환 또는 비치환된 아릴기(aryl), 치환 또는 비치환된 알콕시기, 또는 R1, R2 및 R3 중 임의의 두 개 또는 R1, R2 및 R3 모두는 산소, 황 또는 질소 헤테로 원자를 포함할 수 있는 사이클릭(cyclic) 또는 폴리사이클릭기( polycyclic group)의 일부이고; An-은 치환 또는 비치환된 C1-C12 알킬기, 부분적으 로 또는 완전히 할로겐 치환된 C1-C12 알킬기, C4-C15 사이클로알킬기, 부분적으로 또는 완전히 할로겐 치환된 C4-C15 사이클로알킬기, C7-C20 지환식기 또는 C6-C2O 방향족기의 술포네이트(sulfonate); 치환 또는 비치환된 C1-C12 알킬렌기, 부분적으로 또는 완전히 할로겐 치환된 C1-C12 알킬렌기, C4-C15 사이클로알킬렌기, 부분적으로 또는 완전히 할로겐 치환된 C4-C15 사이클로알킬렌기, C7-C2O 지환식기 또는 C6-C20 방향족기의 디술포네이트(disulfonate); 화학식 Va의 술폰아미드(sulfonamide)로 이루어진 그룹으로부터 선택되며,
Figure 112009038749273-PCT00002
여기서, R11 및 R12는 독립적으로 치환 또는 비치환된 알킬기, 치환 또는 비치환된 사이클로알킬기, 치환 또는 비치환된 지환식기, 부분적으로 또는 완전히 할로겐 치환된 알킬기, 또는 치환 또는 비치환된 아릴기; 및 화학식 Vb의 메티드(methide)이며,
Figure 112009038749273-PCT00003
여기서 R13, R14 및 R15는 독립적으로 C1-C10 퍼플루오로알킬술포닐기(perfluroalkylsulfonyl group)이다.
암모늄 이온을 생성하는데 사용될 수 있는 아민(amine)의 적절한 예는, 이로 제한됨 없이, 트리부틸아민(tributylamine), 트리이소부틸아민(triisobutylamine), 디사이클로헥실아민(dicyclohexylamine), N-에틸디사이클로헥실아민(N-ethyldicyclohexylamine), 1-메틸피롤리딘(1-methylpyrrolidine), 1-부틸피롤리딘(1-butylpyrrolidine), 피페리딘(piperdine), 1-메틸피페리딘(1-methylpiperidine), 헥사메틸렌이민(hexamethyleneimine), 헵타메틸렌이민 (heptamethyleneimine), 트로판(tropane), 퀴뉴클리딘(quinuclidine), 4-메틸-1-옥사-3-아자-사이클로펜탄(4-methyl-1-oxa-3-aza-cyclopentane), 4,4-디메틸-1-옥사-3-아자-사이클로펜탄(4,4-dimethyl-1-oxa-3-aza-cyclopentane), 4,4-디에틸-1-옥사-3-아자-사이클로펜탄(4,4-diethyl-1-oxa-3-aza-cyclopentane), 4,4-디이소프로필-1-옥사-3-아자-사이클로펜탄(4,4-diisopropyl-1-oxa-3-aza-cyclopentane), 4,4-디tert-부틸-1-옥사-3-아자-사이클로펜탄(4,4-ditert-butyl-1-oxa-3-aza- cyclopentane), 4,4-디메틸-1-옥사-3-아자-사이클로헥산(4,4-dimethyl-1-oxa-3-aza-cyclohexane), 1-아자-3,7-디옥사-5-에틸바이사이클로[3.3.0]옥탄(1-aza-3,7-dioxa-5-ethylbicyclo[3.3.0]octane), 1-아자-3,7-디옥사-5-메틸바이사이클로[3.3.0]옥탄(1-aza-3,7-dioxa-5-methylbicyclo[3.3.0]octane), 1-아자-3,7-디옥사-5-tert부틸바이사이클로[3.3.0]옥탄(1-aza-3,7-dioxa-5-tertbutylbicyclo[3.3.0]octane) 등을 포함한다. 이러한 종류의 적당한 TAG의 예는 본원에서 참조된 미국 특허 3,474,054호, 4,200,729호, 4,251,665호, 및 5,187,019호에서 알 수 있다.
이온성 TAG로 분류된 잠재성 산 촉매제의 다른 적당한 종류는 화학식 IVb 및 IVc에 의해 나타나는 산의 벤질암모늄염(benzylammonium salt)이다.
Figure 112009038749273-PCT00004
Figure 112009038749273-PCT00005
여기서 R4 및 R5 는 각각 수소, 알킬기 또는 할로겐기이고; R6 및 R7은 각각 C1-C10 알킬기 또는 알콕시기이며; R8은 페닐기이고; R16, R17, R18, R19, R20 및 R21 은 각각 수소, 알킬기 또는 할로겐기이고; An-는 상기 정의된 바와 같다.
상기 암모늄 이온을 생성하는데 사용될 수 있는 벤질아민(benzylic amine)의 적당한 예는, 이로 제한됨 없이, N-(4-메톡시벤질)-N,N-디메틸아닐린(N-(4-methoxybenzyl)-N,N-dimethylaniline), N-(벤질)-N,N-디메틸아닐린(N-(benzyl)-N,N-dimethylaniline), N-(벤질)-N,N-디메틸톨루이딘(N-(benzyl)-N,N-dimethyltoluidine), N-(4-메틸벤질)-N,N-디메틸아닐린(N-(4-methylbenzyl)-N,N-dimethylaniline), N-(4-메톡시벤질)-N,N-디메틸아닐린(N-(4-methoxybenzyl)-N,N-dimethylaniline), N-(4-클로로벤질)-N,N-디메틸아닐린(N-(4-chlorobenzyl)-N,N-dimethylaniline), N-(t-부틸벤질)-디메틸피리딘(N-(t-butylbenzyl)-dimethylpyridine) 등을 포함한다. 상기 암모늄염은 4급일 수 있고 기타 방법들에 의해 합성될 수 있다. 이온성 TAG의 이러한 종류의 예는 본원에서 참조된 미국 특허 5,132,377호, 5,066,722호, 6,773,474호 및 미국 공개공보 2005/0215713호에서 알 수 있다.
본 발명에서 사용되는 TAG는 상기 하지층 조성물로부터 형성된 필름의 베이킹 온도에서 유리산(free acid)을 생성할 수 있는 화합물들이다. 통상적으로 이러한 온도는 약 90℃ 내지 250℃의 범위에 있다. 바람직하게는 상기 TAG는 170℃-220 ℃ 사이의 온도에서 매우 낮은 휘발성(volatility)을 가질 것이다. 본 발명에서 사용되는 TAG는 시판가능하고(예를 들면, 킹 인더스트리즈(King Industries, Norwalk, CT 06852, USA)로부터 이용가능하다), 알려진 합성 절차들 또는 당업자에게 공지된 합성 절차들로 제조될 수 있다.
상기 기재된 열산 발생제는 광산 발생제로는 여겨지지 않아야 한다. 상기 열산 발생제의 UV에 대한 임의의 민감성(sensitivity)은 매우 낮아야 하고, 실질적으로 열산 발생제는 광산 발생제로서 포토리소그래피(photolithography)에 이용될 수 없다.
상기 하지층 조성물은 상기 감광성 조성물과의 계면(interface)에서 깨끗한 현상 및 수직 분포를 최적화하기 위해 소량의 광산 발생제를 더 포함할 수 있다. 적당한 광산 발생제를 상기 감광성 조성물과 관련해서 이하에 기재한다.
상기 하지층 조성물은 계면활성제를 더 포함할 수 있다. 적당한 종류의 계면활성제는 폴리실록산(polysiloxane), 음이온 계면활성제, 양이온 계면활성제, 비이온 계면활성제, 및 양성이온 계면활성제(amphoteric surfactant)를 포함한다. 불소원자를 포함하는 비이온 계면활성제 및 폴리실록산이 바람직하다.
통상적으로, 열경화 하지층 조성물은 총 고체에 비해, 약 65 내지 95wt. %의 상기 하지층 중합체를 포함한다. 하지층 조성물의 상기 가교제의 양은 약 3 내지 약 30 wt. %이다. 상기 열경화 중합체 조성물의 열산 발생제의 양은 약 0.1 내지 약 10 wt%이다. 광산 발생제의 농도는, 상기 하지층 조성물에 사용되는 경우, 약 0.1 내지 약 10 wt%이다.
하지층 조성물에 적당한 용매는 알코올, 케톤, 에테르 및 에스테르를 포함하는데, 예를 들면 1-펜타놀(1-pentanol), 프로필렌글리콜 모노메틸 에테르(propyleneglycol monomethyl ether, PGME), 2-헵탄온(2-heptanone), 사이클로펜탄온(cyclopentanone), 사이클로헥산온(cyclohexanone), γ-부티로락톤(γ-butyrolactone), 에틸렌글리콜 모노메틸 에테르(ethylene glycol monomethyl ether), 에틸렌글리콜 모노에틸 에테르(ethylene glycol monoethyl ether), 2-메톡시에틸 아세테이트(2-methoxyethyl acetate), 에틸렌글리콜 모노에틸 에테르 아세테이트(ethylene glycol monoethyl ether acetate, PGMEA), 프로필렌글리콜 모노에틸(propylene glycol monoethyl), 프로필렌글리폴 메틸 에테르 아세테이트(propylene glycol methyl ether acetate), 메틸 락테이트(methyl lactate), 에틸 락테이트(ethyl lactate), 메틸 3-메톡시프로피오네이트(methyl 3-methoxypropionate), 에틸 에톡시프로피오네이트(ethyl ethoxypropionate), 메틸 피루베이트(methyl pyruvate), 에틸 피루베이트(ethyl pyruvate), 프로필 피루베이트(propyl pyruvate), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone), 에틸렌글리콜 모노이소프로필 에테르(ethylene glycol monoisopropyl ether), 디에틸렌글리콜 모노에틸 에테르(diethylene glycol monoethyl ether), 디에틸렌글리콜 디메틸 에테르(diethylene glycol dimethyl ether) 등이다. 상기 하지층 조성물에 대한 용매는 2-헵탄온(2-heptanone), 프로필렌글리콜 모노메틸 알코올, 프로필렌글리콜 메틸 에테르 아세테이트, 에틸 락테이트, 및 이의 혼합물인 것이 보다 바람직하다.
이러한 하지층 조성물은 다양한 논점들을 해결하기위 해 조심스럽게 조작된 다. 예를 들면 일부 반도체 제조 원자외선(deep UV) 노광 도구들은 동일한 파장의 빛을 상기 레지스트를 노광시키고 상기 노광 마스크를 상기 레지스트 하부에 위치한 층에 정렬시키기 위해 사용한다. 만약 상기 하지층의 흡수성이 너무 강하면, 정렬하기 위해 필요한 반사된 빛은 너무 감소하여 사용하기 어렵다. 그러나, 만약 상기 하지층의 흡수성이 충분히 강하지 않다면, 정상파(standing wave)가 나타날 것이다. 만약 높은 경화 온도 또는 경화 시간이 요구된다면, 처리량(throughput)에 부정적인 영향이 미치지만, 낮은 경화 온도(즉, < 50℃)는 상기 하지층 조성물을 빠르게 숙성시킬 것이다. 또한 상기 경화되지 않은 하지층 조성물은 상기 반도체 산업에 수용가능한 적어도 하나의 에지비드제거제(edge bead remover)와 융화가능해야 한 반면, 상기 경화된 하지층 및 탑코트(topcoat)로 사용되는 상기 감광성 조성물의 캐스팅 용매(casting solvent)의 혼합은 바람직하지 않다.
상기 하지층 코팅 기판을 제1감광성 조성물로 코팅하고 베이킹하여 상기 이중층 스택을 생성한다. 상기 하지층에 대해 기재된 코팅 및 베이킹 장치 및 기술들은 상기 감광성 조성물에 대해 사용될 수 있다. 베이킹을 위한 시간은 일반적으로 선택된 베이킹 수단, 특정 포토레지스트, 원하는 시간 및 온도에 따라 달라지고, 당업자에게 공지될 것이다. 핫 플레이트 베이킹 방법이 바람직하다. 핫 플레이트 상에서 베이킹할 때, 일반적으로 약 80℃ 내지 약 140℃ 사이의 온도에서 약 0.5분 내지 5분의 시간이 걸린다. 최적의 베이킹 요소는 사용되는 상기 포토레지스트 및 용매에 따라 달라질 것이다.
상기 이중층 스택의 이미지층의 두께는 리소그래피 성능, 및 상기 하지층 필 름으로 전달되는 이미지에 대한 산소 플라즈마 식각 저항성을 제공하기 위한 필요에 대해 최적화된다. 상기 이미지층의 두께는 약 50nm 내지 약 500nm인 것이 바람직하다. 보다 바람직하게, 상기 이미지층은 약 100nm 내지 약 250nm의 두께를 갖는다. 바람직한 이미지층 두께는 110nm 내지 170nm이다.
본 발명의 상기 공정에 사용된 상기 감광성 조성물은 특정 특성들을 가져야 한다. 상기 감광성 조성물은 거의 결점이 없는 우수한 필름을 형성해야하고, 낮은 독성의 캐스팅 용매(casting solvent)에서 용해가능해야하며, 정착액에서는 완전히 용해되지 않거나 용해 불가능해야하고, 고해상도로 이미지를 형성할 수 있어야 하며, 하기 기재될 정착액과 반응할 수 있어야하고, 산소 플라즈마 식각 저항체(oxygen plasma etch resistant)여야 한다. 이러한 특성들은 상기 원자외선 영역에서 방사선에 민감한, 실리콘 함유 화학증폭형 레지스트(chemically amplified resist)에서 발견된다. 이러한 레지스트는 일반적으로 중합체, 광산 발생제(PAG), 용매, 및 확산제어제(diffusion control agent) 및 계면활성제와 같은 임의의 요소들을 포함할 것이다.
본 발명에서 사용되는 상기 실리콘-함유 중합체는 약 1000 내지 약 100,000 amu의 분자량을 갖는 물질이다. 이러한 물질은 하나 이상의 차단된(마스킹된) 알칼리 가용화기들(blocked, masked alkali solubilizing group(산 민감기(acid sensitive group))를 포함하는, 거의 알칼리에 용해되지 않거나 용해 불가능한 실리콘-함유 중합체인 것이 바람직하다. 상기 알칼리 가용화기를 차단하는 작용기(functionality)는 산에 민감성을 나타낸다. 산의 존재로 상기 알칼리 가용화기 의 차단해제(deblocking)가 촉진되고 상기 중합체가 알칼리에 용해가능하도록 한다. 적당한 알칼리 가용화기는, 이로 제한됨 없이, 카복실산(carboxylic acid), 술폰산(sulfonic acid), 페놀(phenol), 산성알코올(acidic alcohol), 하이드록시이미드(hydroxyimide), 하이드록시메틸이미드(hydroxymethylimide), 및 실라놀(silanol)을 포함한다. 적당한 알칼리 가용화기는 미국 공개 공보 제2006/0110677호에도 기재되어 있다. 차단된 알칼리 가용화기들을 함유한 단위체(monomeric unit)는 실리콘을 포함하거나 포함하지 않을 수 있다. 차단해제(deblocking) 이후 알칼리 가용화 단위체들을 포함하는 단위체들의 예는, 이로 제한됨 없이,
Figure 112009038749273-PCT00006
Figure 112009038749273-PCT00007
Figure 112009038749273-PCT00008
를 포함한다.
당업자에게 공지된, 임의의 개수의 산-민감성 보호기들(acid-sensitive protecting group)이 사용될 수 있다. 바람직한 산-민감성 보호기들은 3급 알킬기들(tertiary alkyl group), α-알콕시 알킬기들, 아릴이소프로필(arylisopropyl) 및 지환식(alicyclic) 치환 이소프로필기들을 포함한다. 구체적으로 산-민감성 보호기들은, 이로 제한됨 없이, t-부틸, 1,1-디메틸프로필, 1-메틸-1-사이클로헥실, 2-이소프로필-2-아다만틸(2-isopropyl-2-adamantyl), 테트라하이드로피란-2-일(tetrahydropyran-2-yl), 메톡시 메틸(methoxy methyl), 에톡시 에틸(ethoxy ethyl) 등을 포함한다. 적당한 차단된 알칼리 가용화기들의 예는, 이로 제한됨 없 이, t-부틸 에스테르와 같은 3급 알킬 에스테르(tertiary alkyl ester), α 알콕시 에스테르, 알파 알콕시알킬 방향족 에테르, t-부톡시페닐, t-부톡시이미도, t-부톡시카보닐옥시(t-butoxycarbonyloxy), 및 t-부톡시메틸이미도(t-butoxymethylimido)를 포함한다. 차단된 알칼리 가용화기들의 예는 본원에서 참조된 미국 특허 5,468,589호, 4,491,628호, 5,679,495호, 6,379,861호, 6,329,125호, 6,440,636호, 6,830867호, 6,136,501호 및 5,206,317호에서 알 수 있다.
차단된 알칼리 가용화기들을 포함한 적당한 모노머(monomer)의 예는, 이로 제한됨 없이, 하기 화학식들로 나타나는 모노머들을 포함한다:
Figure 112009038749273-PCT00009
Figure 112009038749273-PCT00010
Figure 112009038749273-PCT00011
Figure 112009038749273-PCT00012
여기서, R23은 각각 수소 원자, C1-C3 알킬기, 또는 C1-C3 퍼플루오르화된 알킬기(perfluorinated alkyl group) 이다. 바람직한 R23기들의 예는, 이로 제한됨 없이, 수소, 메틸 또는 트리플루오로메틸을 포함한다. 차단된 알칼리 가용화기들을 함유한 적당한 모노머들은 미국 특허 5,468,589호, 4,491,628호, 5,679,495호, 6,379,861호, 6,329,125호, 6,440,636호, 6,830867호, 및 5,206,317호에서 알 수 있다.
본 발명의 이 바람직한 실시예에서, 본 발명의 상기 공정에 사용되는 상기 감광성 조성물의 중합체는 실리콘을 더 포함한다. 적당한 중합체는 실리콘 함유량이 약 5 내지 약 30 질량%인 중합체이다. 바람직한 중합체는 실리콘 함유량이 약 8 내지 약 25 질량%인 중합체이다.
하나 이상의 실리콘 잔기들(silicon moieties)을 갖는 단량체들은 차단된 알칼리 가용화기들을 포함하거나 포함하지 않을 수 있다. 적어도 하나의 실리콘 잔기를 갖는 적당한 모노머들의 예는, 이로 제한됨 없이, 하기 화학식들 Vl-IX으로 나타난다.
Figure 112009038749273-PCT00013
Figure 112009038749273-PCT00014
Figure 112009038749273-PCT00015
Figure 112009038749273-PCT00016
상기 식들에서, Z1, Z2, Z3, 및 Z4는 각각 P-Q기이며, 여기서 P는 중합성기(polymerizable group), 바람직하게는 에틸렌성 불포화 중합성기(ethylenically unsaturated polymerizable group)를 포함하는 잔기이고, Q는 단일 결합 또는 2가 가교원자단(divalent bridging group)이다. 이러한 2가 가교원자단은, 이로 제한됨 없이, 2가 헤테로원자(divalent heteroatom), 2가 아세탈(acetal), 케탈(ketal), 카보네이트기(carbonate group) 또는 카복실산 에스테르(carboxylic acid ester), C1-C12 선형 또는 가지형, 사이클릭 또는 폴리사이클릭 알킬렌기(C1-C12 linear, branched, cyclic, polycyclic alkylene group), 디알킬 실록실(dialkyl siloxyl) 또는 C6-C14 아릴렌기를 포함한다. P 기들의 예는, 이로 제한됨 없이, 선형 또는 사이클릭 알켄(alkene), C1-C6 선형 비닐 에테르, C2-C8 선형 또는 사이클릭 알킬 아크릴 에스테르(C2-C8 linear or cyclic alkyl acrylic ester), 스티렌(styrene) 및 하이드록실 스티렌(hydroxyl styrene)을 포함한다. 바람직한 중합성기들의 예는, 이로 제한됨 없이, 비닐, 알릴, 1-부테닐, 1-비닐옥시에틸, 2-에틸아크릴로일(2-ethylacryloyl), 2-프로필아크릴로일(2-propylacryloyl) 또는 2-사이클로헥실 아크릴로일(2-cyclohexyl acryloyl)을 포함한다. 2가 가교원자단의 예는, 이로 제한됨 없이, 메틸렌, 에틸렌, 프로필렌, 부틸렌, 사이클로펜틸렌(cyclopentylene), 사이클로헥실렌(cyclohexylene), 바이사이클로[2.2.1]헵틸렌(bicyclo[2.2.1]heptylene), 테트라[4.4.12,5.17,10.0]도데실렌(tetracyclo[4.4.12,5.17,10.0]dodecylene), -OC(CH3)OCH2-, -CH2OC(CH3)2OC2H4-, -C(O)OC(O)CH2-, -C(O)OC2H4-, -O-, 디메틸 실록실(dimethyl siloxyl), 페닐렌(phenylene), 바이페닐렌(biphenylene), 및 나프탈렌(naphthalene)을 포함한다.
R31, R32, R33, R34, R35, R36 및 R37은 각각 동일하고
(1) 1개 내지 20개의 탄소 원자를 갖는, 선형, 가지형 또는 사이클릭 알킬 또는 치환 또는 비치환된 지환식기;
(2) 1개 내지 20개의 탄소 원자를 갖는, 선형, 가지형 또는 사이클릭 플루오로알킬, 또는 플루오르 치환된 지환식기; 및
(3) (a) n이 약 2 내지 약 10의 정수이고 R50이 수소 원자, 1개 내지 20개의 탄소 원자를 갖는 선형, 가지형 또는 사이클릭 알킬 또는 지환식기, 또는 α-알콕시 알킬기인 (CH2)n-OR50,
(b) o가 약 2 내지 약 10의 정수이고 R51 이 수소 원자, 1개 내지 20개의 탄소 원자를 갖는 선형, 가지형 또는 사이클릭 알킬 또는 지환식기, 또는 산민감성 보호기인 (CH2)o-(C=O)-OR51,
(C) p가 약 2 내지 약 10의 정수이고 R52가 수소 원자 또는 플루오로메틸(fluoromethyl), 디플루오로메틸(difluoromethyl) 또는 트리플루오로메틸(trifluoromethyl)이며 R53이 수소 원자, 또는 1개 내지 20개의 탄소 원자를 갖는 선형, 가지형 또는 사이클릭 알킬 또는 지환식기일 수 있는 (CH2)p-C(CF3)R52-OR53; 및
(d) r이 약 2 내지 약 10의 정수이고 R54가 1개 내지 20개의 탄소 원자를 갖 는 선형, 가지형 또는 사이클릭 알킬 또는 지환식기인 (CH2)r-O-(C=O)R54;로 부터 선택되는 극성기(polar group)로 이루어진 그룹으로부터 선택되고;
R38, R39, 및 R40은 각각 선형, 가지형 또는 사이클릭 C1 -C20 알킬기, 선형, 가지형 또는 사이클릭 플루오로알킬기, 치환 또는 비치환된 C3-C2O 지환식기, R55, R56, R57, R58, R59, 및 R60이 각각 선형, 가지형 또는 사이클릭 C1 -C20 알킬기, 선형, 가지형 또는 사이클릭 플루오로알킬기, 또는 치환 또는 비치환된 C3-C2O 지환식기인 화학식 XII 또는 화학식 XIII이고;
Figure 112009038749273-PCT00017
Figure 112009038749273-PCT00018
R41 및 R42는 각각 C1-C3 알킬렌기 및 R43, R44, R45 및 R46은 C1-C10 선형, 또는 사이클릭 알킬기, C6-C10 치환 또는 비치환된 기, C1-C8 알콕시 메틸기 또는 C1-C8 알콕시 에틸기이다. R41 및 R42의 예는, 이로 제한됨 없이, 메틸렌, 에틸렌, 및 프로필렌기를 포함하고, 메틸렌기가 보다 바람직하다. R43, R44, R45 및 R46 기들의 예는, 이로 제한됨 없이, 메틸, 에틸, 프로필, 이소프로필, 사이클로프로필, 사이클로펜틸, 사이클로헥실, 페닐, 4-메틸페닐, 메톡시 메틸, 에톡시 메틸 및 메톡시 에틸을 포함한다;
R47, R48 및 R49는 각각 선형, 가지형 및 사이클릭 C1 -C20 알킬기 또는 지환식기, 부분적으로 치환되거나 완전히 치환된 사이클릭 C1 -C20 알킬기 또는 지환식기, 또는 치환 또는 비치환된 C6-C2O 아릴기이고; m은 약 2 내지 약 10의 정수이다. 바람직하게는 m은 2 내지 6, 보다 바람직하게는 2 내지 3, 가장 바람직하게는 3이다.
R47, R48 및 R49의 예는, 이로 제한됨 없이, 메틸, 트리플루오로메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 사이클로펜틸, 사이클로헥실, 헵틸, 이소옥틸, 사이클로옥틸(cyclooctyl), 노닐(nonyl), 데실(decyl), 펜데실(pendecyl), 에이코실(eicosyl), 하이드록시사이클로헥실, 디하이드록시사이클로헥실, 바이사이클로[2.2.1]헵틸, 하이드록시 바이사이클로[2.2.1]헵틸, 카복시바이사이클로[2.2.1]헵틸, 페닐, 톨릴(tolyl), 및 나프틸을 포함한다. R47, R48 및 R49의 바람직한 예는, 이로 제한됨 없이, 메틸, 에틸, n-프로필, 이소프 로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 사이클로펜틸, 사이클로헥실, 사이클로옥틸(cyclooctyl), 디하이드록시사이클로헥실, 바이사이클로[2.2.1]헵틸, 하이드록시 바이사이클로[2.2.1]헵틸, 카복시바이사이클로[2.2.1]헵틸, 및 나프틸을 포함한다.
실리콘-함유 단량체들의 예는, 이로 제한됨 없이 다음 화학식들을 포함한다:
Figure 112009038749273-PCT00019
Figure 112009038749273-PCT00020
Figure 112009038749273-PCT00021
Figure 112009038749273-PCT00022
실리콘을 함유하는 적당한 모노머들의 추가적인 예들은, 이로 제한됨 없이, 본원에서 참조된 미국 특허 6,165,682호, 5,985,524호, 6,916,543호 및 6,929,897호에 기재된 것들을 포함한다.
본 발명의 상기 공정에서 상기 포토레지스트 필름에 패터닝된 이미지를 안정화시키기 위해, 앵커기라고 하는 작용기들은 상기 필름에 남아서 상기 정착액의 정착기와 반응해야한다. 통상적으로, 이러한 작용기들은 상기 중합체 구조의 일부이다. 상기 포토레지스트 필름의 앵커기들은 반응형태 또는 보호형태 (즉, 상기 차단된 알칼리 가용화기의 추가적인 작용기) 로 존재할 수 있다. 만약 상기 앵커기들이 반응형태로 존재하면, 상기 정착액이 바로, 바람직하게는 적당한 용매에 분산되어 상기 패터닝된 필름에 적용되어 상기 이미지를 정착 또는 안정화시킨다. 만약 상기 앵커기들이 보호형태로 존재하면, 탈보호되어 반응형태의 앵커기를 생성할 수 있 다.
예를 들면, 보호기들이 산 분해성(acid-labile)을 나타내는 경우, 상기 패터닝된 필름은 고에너지 방사선의 블랭킷 노광(blanket exposure)로 노광되어 이전에 노광되지 않은 영역에서 상기 필름으로부터 상기 보호기들을 제거할 수 있다. 이러한 새로운 반응성 앵커기들은 이어서 상기 정착기와 반응하여 상기 이미지를 안정화할 수 있다.
본 발명의 상기 공정을 위해, 상기 블랭킷 노광이 본 발명에서 반드시 필요한 것은 아니다. 선 가장자리를 따라, 차단해제(deblocking)가 되었지만 알칼리 수용해성이 충분하지 않은 중합체 단편들이 존재한다. 이러한 부위들은, 가능하면 다른 차단되지 않은(unblocked) 반응성 부위들과 함께(중합체에 따라) 이미지 정착에 충분한 반응성 부위들을 제공할 수 있다. 본 발명의 이미지 정착 정도는 제2포토레지스트 코팅층의 캐스팅 용매 내의 상기 이미지의 불용해성(insolubility)을 생성하기에 충분한 양일뿐이다. 이것은 상기 이미지를 크게 팽창시키는 종래 기술에 기재된 유사한 공정들보다 못하다.
앵커기들의 예는, 이로 제한됨 없이, 상기 기재된 알칼리 가용화기들, 카복실산 무수물(carboxylic acid anhydride), 에폭시드(epoxide), 이소시아네이트(isocyanate), 티오페놀(thiophenol), 또는 아미노기들(산 민감성 보호기들로 보호될 수 있다)을 포함한다. 바람직한 앵커기들은 카복실산 및 카복실산 무수물이다. 많은 이러한 동일한 작용기들은 정착 화합물에서 사용될 수 있다. 그러나, 상기 중합체에 사용된 특정 앵커기는 상기 정착기와 조합으로 선택되어 한 쌍의 반응 조합물(reactive pair combination)을 가질 수 있다.
앵커기들을 포함하는 적당한 모노머들은, 이에 제한됨 없이, 상기 기재된 차단된 알칼리 가용화 모노머들, 말레산 무수물(maleic anhydride), 사이클로헥센 디카복실산 무수물(cyclohexene dicarboxylic anhydride), 노보넨 디카복실산 무수물(norbomene dicarboxylic anhydride), 이타콘산 무수물(itaconic anhydride), 글리시딜 아크릴레이트(glycidyl acrylate), 글리시딜메타크릴레이트(glycidyl methacrylate), 하이드록시에틸 메타크릴레이드(hydroxyethyl methacrylate) 2,3-디하이드록시프로필 아크릴레이트(2,3-dihdroxypropyl acrylate) 및2,3-디하이드록시프로필 메타크릴레이트(2,3-dihdroxypropyl methacrylate)를 포함한다.
상기 중합체는 또한 기타 비반응적이고 산에 민감하지 않은 모노머들도 포함하여 광 및 리소그래피 특성들을 최적화하는 것을 도와준다. 다른 모노머 종류들의 예는, 이로 제한됨 없이, 스티렌 모노머, 아크릴 및 메타크릴 에스테르 모노머, 비닐 에테르, 비닐 에스테르, 말레 모노-에스테르 및 말레 디-에스테르(maleic mono- and di-esters), 노르보넨(norbornene), 및 알릴 에스테르를 포함한다.
적절한 중합체들의 예는, 이로 제한됨 없이, 미국 특허 6,165,682호, 5,985,524호, 6,916,543호 및6,929,897호에 기재된 중합체들을 포함한다.
상기 중합체들은 자유라디칼중합(free radical polymization)과 같은 종래의 중합 기술들, 또는 당업자에게 공지된 기타 기술들로 합성될 수 있다.
상기 감광성 조성물은 또한 광산발생(PAG) 화합물을 포함할 수 있다. 통상적으로, 상기 PAG는 상기 중합체의 질량에 대해 약 1 내지 10%의 양으로 존재할 것이 다.
임의의 적당한 광산발생 화합물들은 상기 방사선 민감성 레지스트(radiation sensitive resist)에 사용될 수 있다. 상기 광산발생 화합물들은 널리 공지되어 있고, 예를 들면, 디아조늄(diazonium), 술포늄(sulfonium), 술포옥소늄(sulfoxonium) 및 아이오도늄(iodonium) 염과 같은 오니움 염(onium salt), 니트로벤질술포네이트 에스테르(nitrobenzylsulfonate ester), 옥심술포네이트(oximesulfonate), 이미도술포네이트(imidosulfonate) 및 디술포네이트(disulfone)를 포함한다. 적당한 광산발생 화합물은, 예를 들면 본원에서 참조된 미국 특허 5,558,978호, 5,468,589호, 5,554,664호 및 6,261,738호에 기재되어 있다. 미국 특허 6,261,738호는 적당한 옥심술포네이트 PAG들의 예를 기재한다. 기타 적당한 광산 발생제들은 미국 특허 5,554,664호에 기재된 퍼플루오로알킬 술포닐 메티드(perfluoroalkyl sulfonyl methide) 및 퍼플루오로알킬 술포닐 이미드(perfluoroalkyl sulfonyl imide)이다.
광산 발생제의 적당한 예는 페나실 p-메틸벤젠술포네이트(phenacyl p-methylbenzenesulfonate), 벤조인 p-톨루엔술포네이트(benzoin p-toluenesulfonate), α-(p-톨루엔-술포닐옥시)메틸벤조인, 3-(p-톨루엔술포닐옥시)-2-하이드록시-2-페닐-1-페닐프로필 에테르(3-(p-toluenesulfonyloxy)-2-hydroxy-2-phenyl-1-phenylpropyl ether), N-(p-도데실벤젠술포닐옥시)-1,8-나프탈이미드(N-(p-dodecylbenzenesulfonyloxy)-1,8-naphthalimide) 및 N-(페닐-술포닐옥시)-1,8-나프탈이미드(N-(phenyl-sulfonyloxy)-1,8-napthalimide)이다.
적당한 오니움 염(onium salt)의 예는, 이로 제한됨 없이, 트리페닐 술포늄 메탄 술포네이트(triphenyl sulfonium methane sulfonate), 트리페닐 술포늄 트리플루오로메탄술포네이트(triphenyl sulfonium trifluoromethanesulfonate), 트리페닐 술포늄 헥사플루오로프로판술포네이트(triphenyl sulfonium hexafluoropropanesulfonate), 트리페닐 술포늄 노나플루오로부탄술포네이트(triphenyl sulfonium nonafluorobutanesulfonate), 트리페닐 술포늄 퍼플루오로옥탄술포네이트(triphenyl sulfonium perfluorooctanesulfonate), 트리페닐 술포늄 페닐 술포네이트(triphenyl sulfonium phenyl sulfonate), 트리페닐 술포늄 4-메틸 페틸 술포네이트(triphenyl sulfonium 4-methyl phenyl sulfonate), 트리페닐 술포늄 4-메톡시페닐 술포네이트(triphenyl sulfonium 4-methoxyphenyl sulfonate), 트리페닐 술포늄 4-클로로페닐 술포네이트(triphenyl sulfonium 4-chlorophenyl sulfonate), 트리페닐 술포늄 캠포술포네이트(triphenyl sulfonium camphorsulfonate), 4-메틸페닐-디페닐 술포늄 트리플루오로메탄술포네이트(4-methylphenyl-diphenyl sulfonium trifluoromethanesulfonate), 비스(4-메틸페닐)-페닐 술포늄 트리플루오로메탄술포네이트(bis(4-methylphenyl)-phenyl sulfonium trifluoromethanesulfonate), 트리스-4-메틸페닐 술포늄 트리플루오로메탄술포네이트, 4-tert-부틸페닐-디페닐 술포늄 트리플루오로메탄술포네이트, 4-메톡시페닐-디페닐 술포늄 트리플루오로메탄술포네이트, 메시틸-디페닐 술포늄 트리플루오로메탄술포네이트(mesityl-diphenyl sulfonium trifluoromethanesulfonate), 4-클로로페닐-디페닐 술포늄 트리플루오로메탄술포네이트, 비스(4-클로로페닐)-페닐 술포늄 트리플루오로메탄술포네이트, 트리스(4-클로로페닐) 술포늄 트리플루오로메탄술포네이트, 4-메틸페닐-디페닐 술포늄 헥사플루오로프로판술포네이트, 비스(4-메틸페닐)-페닐 술포늄 헥사플루오로프로판술포네이트, 트리스-4-메틸페닐 술포늄 헥사플루오로프로판술포네이트, 4-tert-부틸페닐-디페닐 술포늄 헥사플루오로프로판 술포네이트, 4-메톡시페닐-디페닐 술포늄 헥사플루오로프로판 술포네이트, 메시틸-디페닐 술포늄 헥사플루오로프로판 술포네이트, 4-클로로페닐-디페닐 술포늄 헥사플루오로프로판 술포네이트, 비스(4-클로로페닐)-페닐 술포늄 헥사플루오로프로판 술포네이트, 트리스(4-클로로페닐) 술포늄 헥사플루오로프로판 술포네이트, 4-메틸페닐-디페닐 술포늄 퍼플루오로옥탄술포네이트, 비스(4-메틸페닐)-페닐 술포늄 퍼플루오로옥탄술포네이트, 트리스-4-메틸페닐 술포늄 퍼플루오로옥탄술포네이트, 4-tert-부틸페닐-디페닐 술포늄 퍼플루오로옥탄 술포네이트, 4-메톡시페닐-디페닐 술포늄 퍼플루오로옥탄 술포네이트, 메시틸-디페닐 술포늄 퍼플루오로옥탄 술포네이트, 4-클로로페닐-디페닐 술포늄 퍼플루오로옥탄 술포네이트, 비스(4-클로로페닐)-페닐 술포늄 퍼플루오로옥탄 술포네이트, 트리스(4-클로로페닐) 술포늄 퍼플루오로옥탄 술포네이트, 디페닐 아이오도늄 헥사플루오로프로판 술포네이트(diphenyl iodonium hexafluoropropane sulfonate), 디페닐 아이오도늄 4-메틸페닐 술포네이트, 비스(4-tert-부틸페닐)아이오도늄 트리플루오로메탄 술포네이트, 비스(4-tert-부틸페닐)아이오도늄 헥사플루오로메탄 술포네이트, 및 비스(4-사이클로헥실페닐)아이오도늄 트리플루오로메탄 술포네이트를 포함한다.
본 발명에서 이용되는 적당한 광산 발생제의 다른 예로는 비스(p-톨루엔술포 닐)디아조메탄, 메틸술포닐 p-톨루엔술포닐디아조메탄, 1-사이클로-헥실술포닐-1-(1,1-디메틸에틸술포닐)디아조메탄, 비스(1,1-디메틸에틸술포닐)디아조메탄, 비스(1-메틸에틸술포닐)디아조메탄, 비스(사이클로헥실술포닐)디아조메탄, 1-p-톨루엔술포닐-1-사이클로헥실카보닐디아조메탄, 2-메틸-2-(p-톨루엔술포닐)프로피오페논(2-methyl-2-(p-toluenesulfonyl)propiophenone), 2-메탄 술포닐-2-메틸-(4-메틸티오프로피오페논, 2,4-메틸-2-(p-톨루엔술포닐)펜트-3-온(2,4-methyl-2-(p-toluenesulfonyl)pent-3-one), 1-디아조-1-메틸술포닐-4-페닐-2-부탄온(1-diazo-1- methylsulfonyl-4-phenyl-2-butanone), 2-(사이클로헥실카보닐-2-(p-톨루엔술포닐)프로판, 1-사이클로헥실술포닐-1사이클로헥실카보닐디아조메탄, 1-디아조-1-사이클로헥실술포닐-3,3-디메틸-2-부탄온, 1-디아조-1-(1,1-디메틸에틸술포닐)-3,3-디메틸-2-부탄온, 1-아세틸-1-(1-메틸에틸술포닐)디아조메탄, 1-디아조-1-(p-톨루엔술포닐)-3,3-디메틸-2-부탄온, 1-디아조-1-벤젠술포닐-3,3-디메틸-2-부탄온, 1-디아조-1-(p-톨루엔술포닐)-3-메틸-2-부탄온, 사이클로헥실 2-디아조-2-(p-톨루엔술포닐)아세테이트, tert-부틸2-디아조-2-벤젠술포닐아세테이트, 이소프로필-2-디아조-2-메탄술포닐아세테이트, 사이클로헥실 2-디아조-2-벤젠술포닐아세테이트, tert-부틸 2 디아조-2-(p-톨루엔술포닐)아세테이트, 2-니트로벤질 p-톨루엔술포네이트, 2,6-디니트로벤질 p-톨루엔술포네이트, 2,4-디니트로벤질 p-트리플루오로메틸벤젠술포네이트이다.
상기 광산발생 화합물은 일반적으로 중합체 고체 질량에 대해 약 0.0001 내지 20 질량%의 양으로 보다 바람직하게는 약 1 질량% 내지 10 질량%의 양으로 사용 된다.
상기 이미지층에 대한 방사선 민감성 레지스트에 적당한 용매는 케톤, 에테르 및 에스테르를 포함하는데, 예를 들면 메틸 에틸 케톤, 메틸 이소부틸 케톤, 2-헵탄온, 사이클로펜탄온, 사이클로헥산온, 2-메톡시-1-프로필렌 아세테이트, 2-메톡시에탄올, 2-에톡시에탄올, 2-에톡시에틸 아세테이트, 1-메톡시-2-프로필 아세테이트, 1,2-디메톡시 에탄 에틸 아세테이트, 셀로솔브 아세테이트, 프로필렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 메틸 락테이트(methyl lactate), 에틸 락테이트, 메틸 피루베이트(methyl pyruvate), 에틸 피루베이트, 에틸 3-메톡시프로피오네이트, N-메틸-2-피롤리돈, 1,4-디옥산, 에틸렌 글리콜 모노이소프로필 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 디메틸 에테르 등이다. 상기 이미지층에 대한 방사선 민감성 레지스트에 사용되는 용매는 상기 하지층 조성물의 사이클로올레핀(cycloolefin) 중합체 및 상기 이미지층에 대한 방사선 민감성 레지스트와 융화성(compatibility)을 고려하여 선택될 것이다. 예를 들면, 상기 방사선 민감성 레지스트용 용매의 선택과 용매의 농도는 주로 상기 산 분해성 중합체(acid labile polymer)에 삽입된 작용기들(functionalities)의 종류, 광산 발생제, 및 코팅방법에 좌우된다. 상기 용매는 불활성이어야 하고, 상기 레지스트내의 모든 구성요소들을 용해시켜야 하며, 상기 구성요소들과 어떠한 화학반응도 일으켜서는 안되고, 코팅 후 건조 시 제거가능해야한다.
상기 포토레지스트 조성물은 확산제어제, 염료, 분포 향상 첨가제(profile enhancement additives), 계면활성제, 및 본원에서 참조된 미국 가출원 US 변리사 관리번호 335.8559USP(출원일: 2007년 2월 8일, 제목: 실리콘-함유 첨가제를 이용한 감광성 조성물), 미국 특허 6,210,856호, 및 미국 공개 공보 2006/0063103호에 기재된 것과 같은 실리콘 함유 첨가제를 더 포함할 수 있다.
확산제어제의 목적은 상기 광발생 산(photogenerated acid)이 너무 넓게 확산하는 것과 저해상도를 방지하기 위함이다. 두 번째 목적은 상기 화학방사선으로 조사되기 전에 상기 포토레지스트에 존재하는 양자들을 제거하기 위함이다. 상기 확산제어제는 기본적으로 불안정한 양자들(labile proton)로 인한 상기 산분해성기(acid labile group)에 대한 공격 및 분해를 방지하므로, 상기 레지스트의 성능 및 안정성을 향상시킨다. 상기 조성물의 확산제어제의 비율은 상기 광산 발생제보다 훨씬 낮아야하며 그렇지 않으면 상기 감광성(photosensitivity)은 너무 낮아진다. 상기 확산제어제가 존재할 때, 상기 광산발생 화합물의 질량에 비해 약 3% 내지 50%인 것이 바람직하다.
질소염기(nitrogenous base)가 바람직하다. 확산제어제들의 적당한 예는, 이로 제한됨 없이, 사이클로프로필아민, 사이클로부틸아민, 사이클로펜틸아민, 디사이클로펜틸아민, 디사이클로펜틸메틸아민, 디사이클로펜틸에틸아민, 사이클로헥실아민, 디메틸사이클로헥실아민, 디사이클로헥실아민, 디사이클로헥실메틸아민, 디사이클로헥실에틸아민, 디사이클로헥실부틸아민, 사이클로헥실-t-부틸아민, 사이클로헵틸아민, 사이클로옥틸아민, 1-아다만탄아민(1-adamantanamine), 1- 디메틸아미노아다만탄, 1-디에틸아미노아다만탄, 2-아다만탄아민, 2-디메틸아미노아다만탄, 2-아미노노르보넨(2-aminonorbornene), 및 3-노르아다만탄아민(3-noradamantanamine), 2-메틸이미다졸, 테트라메틸 암모늄 수산화물(tetramethyl ammonium hydroxide), 테트라부틸 암모늄 수산화물, 트리이소프로필아민, 4-디메틸아미노피리딘, 4,4'-디아미노디페닐 에테르, 2,4,5-트리페닐이미다졸, 및 1,5-디아자바이사이클로[4.3.0]논-5-엔, 및 1,8-디아자바이사이클로[5.4.0]운덱-7-엔, 구아니딘(guanidine), 1,1-디메틸구아니딘, 1,1,3,3-테트라메틸구아니딘, 2-아미노피리딘, 3-아미노피리딘, 4-아미노피리딘, 2-디메틸아미노피리딘, 4-디메틸아미노피리딘, 2-디에틸아미노피리딘, 2- (아미노메틸)피리딘, 2-아미노-3-메틸피리딘, 2-아미노-4-메틸피리딘, 2- 아미노-5-메틸피리딘, 2-아미노-6-메틸피리딘, 3-아미노에틸피리딘, 4-아미노에틸피리딘, 3-아미노피롤리딘, 피페라진, N-(2-아미노에틸)피페라진, N-(2-아미노에틸)피페리딘, 4-아미노-2,2,6,6-테트라메틸피페리딘, 4-피페리디노피페리딘, 2-이미노피페리딘, 1-(2-아미노에틸)피롤리딘, 피라졸, 3- 아미노-5-메틸피라졸, 5-아미노-3-메틸-1-p-톨릴피라졸, 피라진, 2- (아미노메틸)-5-메틸피라진, 피리미딘, 2,4-디아미노피리미딘, 4,6- 디하이드록시피리미딘, 2-피라졸린(2-pyrazoline), 3-피라졸린, N-아미노몰포린, N-(2-아미노에틸)몰포린, 트리메틸이미다졸, 트리페닐이미다졸, 및 메틸디페닐이미다졸을 포함한다.
상기 포토레지스트 조성물은 계면활성제를 더 포함할 수 있다. 적당한 종류의 계면활성제는 폴리실록산(polysiloxane), 음이온 계면활성제, 양이온 계면활성제, 비이온 계면활성제, 및 양성이온 계면활성제(amphoteric surfactant)를 포함한다. 불소 원자를 포함하는 비이온 계면활성제 및 폴리실록산이 바람직하다. 당업자 는 적절한 계면활성제와 계면활성제의 농도를 결정할 수 있을 것이다.
릴리프 구조의 생성을 위해, 상기 방사선-민감성 레지스트를 상에 따라(imagewise) 화학방사선에 노광한다. 상기 용어 "상에 따른(imagewise)" 노광은 소정 패턴을 포함하는 포토마스크를 통한 노광, 및 화학방사선의 임의의 적당한 공급원(source)에 의한 노광, 예를 들면 상기 코팅된 기판 표면상에서 이동되는 컴퓨터 제어 레이저 빔(computer controlled laser beam)에 의한 노광, 컴퓨터 제어 전자 빔에 의한 노광, 및 X-선 또는 자외선(UV ray)에 대응되는 마스크를 통한 X-선 또는 자외선(UV ray)에 의한 노광을 의미한다. 상기 상에 따른 노광(imagewise exposure)로 상기 산 분해성기들의 분열(cleavage)을 촉진시켜 중합체가 수용성이 되도록 하는 상기 레지스트의 노광된 영역들에 산이 생성된다.
상기 감광성 조성물의 노광은 "액침 리소그래피(immersion lithography)" 기술에 의해 실행될 수 있다. 액침 리소그래피는 상기 최종 투영 렌즈(final projection lens)와 상기 감광성 조성물을 포함한 기판 사이의 간격을 공기보다 굴절률n이 더 큰 침지액(immersion liquid)으로 채워 넣은 이미지 장치(imaging apparatus)를 사용하는 것이다. 이러한 종류의 장치는 미국 공개 공보 2005/0163629호에 기재되어 있다. 상기 액침 리소그래피 기술을 이용한 노광은 "습식" 노광법으로 언급되기도 하고, 반면 액침 리소그래피 기술을 이용하지 않는 종래 노광방법은 "건식" 노광법이라고 한다.
상기 침지액은 1보다 큰 굴절률n을 갖는, 즉 노광광의 파장에서 투명하며, 상기 감광성 조성물을 용해하거나 상기 감광성 조성물과 화학적으로 반응하지 않는 는 임의의 용액일 수 있다. ArF 엑시머 레이저 노광 시스템과 사용되는 바람직한 침지액은 물을 포함한다. 사용되는 물은 실질적으로 화학방사선에 대해 불투명한 물질들이 없고 물의 굴절률에 영향을 미치는 불순물들도 포함하지 않아야한다. 물과 거의 동일한 굴절률을 갖는 지방족 알코올(aliphatic alcohol)과 같은, 물의 표면장력을 감소시키기 위한 첨가제가 사용될 수 있다. 적당한 알코올의 예는, 이로 제한됨 없이, 메틸 알코올, 에틸 알코올 및 이소프로필 알코올을 포함한다.
선택적으로, 액침 리소그래피 기술로 상기 감광성 조성물 코팅 기판을 노광하기 전에, 보호 피막(protective coat)을 상기 감광성 조성물(탑코트)의 상부에 바로 적용하여 상기 감광성 조성물이 상기 침지액에 접촉하는 것을 방지할 수 있다. 이러한 탑코트는, 사용되는 경우, 실질적으로 상기 침지액에서 용해불가능해야하고, 상기 화학방사선에 대해 투명해야하며, 상기 감광성 조성물과 섞이지 않고, 균일하게 코팅될 수 있다. 적당한 탑코트의 예는 미국 공개 공보 2005/0277059호, 2006/0189779호, 2006/0008748호 및 2006/0036005호에 기재되어 있다.
상기 기재된 릴리프 구조의 생성을 위한 공정은 노광 단계와 현상제 처리단계 사이에 형성된 코팅층을 가열하는 단계를 추가적인 공정으로 포함하는 것이 바람직하다. "노광-후 베이킹(post-exposure bake)"으로 알려진 이러한 열처리의 목적은 실질적으로 상기 중합체의 산분해성기들과 상기 노광로 생성된 산이 완전히 반응하게 하는 것이다. 이 노광-후 베이킹 단계의 기간 및 온도는 넓은 한도 내에서 달라질 수 있고 반드시 상기 중합체의 작용기들, 산 발생제의 종류 및 이러한 두 가지 요소들의 농도에 따라 좌우될 수 있다. 상기 노광된 레지스트는 통상적으 로 몇 초 내지 몇 분동안 약 50℃ 내지 약 150℃의 온도에 반응한다. 상기 노광 후 베이킹 단계는 약 5초 내지 180초 동안 약 80℃ 내지 130℃에서 수행되는 것이 바람직하다. 임의의 적당한 가열 수단이 사용될 수 있다. 바람직한 가열수단은 핫 플레이트이다.
상에 따른 노광 및 상기 물질의 임의의 열처리 후, 상기 레지스트의 노광된 영역들을 현상액으로의 용해로 제거하여 릴리프 구조를 생성한다. 적당한 염기의 예는, 이로 제한됨 없이, 무기 알칼리(inorganic alkali) (예를 들면, 수산화칼륨(potassium hydroxide), 수산화나트륨 (sodium hydroxide), 암모니아수(ammonia water)), 1급아민(예를 들면, 에틸아민, n-프로필아민), 2급아민(예를 들면, 디에틸아민, 디-n-프로필아민), 3급아민(예를 들면, 트리에틸아민), 알코올아민(예를 들면, 트리에탄올아민), 4급 암모늄 염(예를 들면, 테트라메틸암모늄 하이드록시드(tetramethylammonium hydroxide), 테트라에틸암모늄 하이드록시드(tetraethylammonium hydroxide)), 및 이의 혼합물을 포함한다. 사용되는 염기의 농도는 사용되는 중합체와 특정 염기의 염기 용해성에 따라 달라질 수 있다. 테트라메틸암모늄 하이드록시드(tetramethylammonium hydroxide, TMAH)를 포함하는 현상제가 가장 바람직하다. TMAH의 적당한 농도는 약 1 wt% 내지 약 5 wt%의 범위에 있다.
상기 현상제는 약 50ppm 내지 약 10,000ppm의 농도의 계면활성제를 포함할 수 있다. 계면활성제가 사용되면, 농도는 약 100ppm 내지 약 5000ppm인 것이 바람직하다. 계면활성제가 사용되면, 농도는 약 100ppm 내지 약 1000ppm인 것이 바람직 하다. 계면활성제의 모든 종류는 사용가능할 수 있다. 바람직한 계면활성제의 종류는 음이온 계면활성제, 양이온 계면활성제, 비이온 계면활성제, 및 이들의 플루오르화된 형태들을 포함한 양성이온 계면활성제를 포함한다. 플루오르화된 비이온 계면활성제를 포함하는 비이온 계면활성제가 보다 바람직하다.
상기 현상제는 염(salt) 및 소포제(antifoam agent)와 같은 기타 첨가제를 포함할 수 있다.
상기 포토레지스트의 현상은 교반(agitation)과 상관없이 약 30초 내지 약 5분동안 약 10℃ 내지 40℃의 온도에서 액침(immersion), 분사(spray), 연철(puddling), 또는 기타 당업자에게 공지된 유사한 현상 방법들에 의해 수행될 수 있다.
현상 후, 상기 릴리프 패턴은 탈이온수(de-ionized water)를 포함하거나 하나 이상의 계면활성제를 함유한 탈이온수를 포함하는 세정제(rinse)로 선택적으로 세정하여 스핀 건조시키고, 핫 플레이트 상에서, 오븐 내에서, 또는 당업자에게 공지된 기타 적당한 수단 내에서 베이킹한다. 계면활성제의 농도는 약 50ppm 내지 약 10000ppm인 것이 바람직하다. 계면활성제의 농도는 약 100ppm 내지 약 5000ppm인 것이 보다 바람직하다. 계면활성제의 농도는 약 100ppm 내지 약 1000ppm인 것이 가장 바람직하다. 계면활성제의 모든 종류는 사용가능할 수 있다. 바람직한 계면활성제의 종류는 음이온 계면활성제, 양이온 계면활성제, 비이온 계면활성제, 및 이들의 플루오르화된 형태들을 포함한 양성이온 계면활성제를 포함한다. 플루오르화된 비이온 계면활성제를 포함하는 비이온 계면활성제가 보다 바람직하다.
상기 레지스트가 제거된 영역의 크기를 줄이기 위해 상기 레지스트 이미지의 현상 또는 건조 후 선택적인 리플로우 단계(reflow step)가 수행될 수 있다. 상기 형상들(feature)의 현저한 왜곡없이 소정 형상 크기를 얻기 위해 상기 레지스트를 제어된 방식으로 상기 레지스트가 제거된 영역으로 흘려가게 하기 위해 사용된 레지스트에 특정한 시간동안 온도를 가열할 수 있다. 상기 리플로우 기술은 리소그래피 패터닝의 어려움을 감소시키고, 상기 형상들의 선 가장자리 조도(line edge roughness) 및 선폭 조도(line width roughness)를 감소시킬 것이다. 상기 기술에 대한 트레이드-오프(trade-off)는 상기 레지스트의 두께가 감소하는 것으로, 그 결과 후속 식각 단계 동안 상기 하지층을 덜 보호하게 된다.
상기 리플로우 베이킹의 온도는 상기 사용되는 레지스트의 플로우 온도(flow temperature) 및 상기 베이킹 기술 및 장치에 좌우된다. 반도체 트랙 공정에서, 상기 공정에서 사용되는 통상적인 레지스트는 약 130℃ 내지 180℃ 사이의 온도에서 베이킹될 수 있다. 통상적인 베이킹 시간은 약 5초 내지 약 120초일 수 있다.
그 후에, 상기 이미지화된 이중층 스택을 정착액으로 처리하여 상기 릴리프 이미지를 정착시킨다. 상기 앵커기와 정착기 사이의 반응은 상기 포토레지스트 필름의 용해성을 변화시켜 상기 현상된 이미지를 안정화시킨다. 상기 정착액은 용매, 및 상기 감광성 조성물의 중합체의 앵커기에 반응하는 적어도 두 개의 작용기들을 포함하는 정착 화합물을 포함한다.
상기 정착 용매 시스템은 상기 비정착된 레지스트 이미지로의 상기 정착 화합물의 이동을 위한 효과적인 전달 수단이 되기 위해 다음과 같은 특성들을 가져야 한다. 상기 정착 용매 시스템은 상기 정착 화합물을 용해시킬 수 있어야 하고, 상기 레지스트 이미지들을 용해시키거나, 변형시키거나 크게 팽창시키면 안된다. 적당한 정착 용매 시스템은 따라서 상기 레지스트 이미지 가용성에 따라 선택될 것이다. 일반적인 양성 포토레지스트(positive photoresist)는 알코올, 케톤, 에테르 및 에스테르와 같이 적절한 극성을 띄는 용매에 용해가능하다. 구체적인 예로는 프로필렌글리콜 모노메틸 에테르(PGME), 2-헵탄온, 에틸렌 글리콜 모노에틸 에테르 아세테이트(PGMEA), 및 디에틸렌글리콜 디메틸 에테르이다. 단독으로 또는 서로 혼합된 이러한 용매들은 정착액에서의 사용에 있어서 분명히 적합하지 않다.
정착액에 대해 적합한 용매 시스템들은 통상적인 포토레지스트 용매들보다 훨씬 낮은 극성 또는 훨씬 높은 친수성을 나타내는 것들이다. 상기 용매 시스템은 원하는 극성(polarity) 및 상기 레지스트 이미지들을 현저히 섭동(perturbing)하지 않고 상기 정착 화합물을 용해하는 용해력(dissolution power)으로 된 하나 이상의 용매들을 포함할 수 있다. 또한, 통상적인 레지스트 용매들은 하나 이상의 용매들과 혼합되어 상기 결과 용매 시스템 극성 및 용해력이 상기 기재된 정착 용매 시스템 기준을 충족시키는 한 상기 정착 용매 시스템에서의 사용으로부터 배제되지 않는다.
극성의 정착 용매 시스템의 예로는 물 및 수혼화성 용매(water miscible solvent)와 물의 혼합물이다. 이러한 수혼화성 용매는, 이로 제한됨 없이, 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 및 2-부탄올과 같은 알코올을 포함하고, 프로필렌글리콜 모노메틸 에테르(PGME) 및 에틸 아세테이트는 물과의 적절한 혼합 상대의 예지만, 상기 레지스트 이미지의 용해를 예방하기 위해 제한된 농도로 사용된다. 무극성의 정착 용매는 예를 들면 헥산, 사이클로헥산, 옥탄, 데칸 및 도데칸을 포함하는 C5 내지 C20 선형, 가지형 또는 사이클릭 알칸(alkane)과 같은 알칸이다. 이러한 무극성의 용매는 레지스트 이미지가 유지되는 동안 정착 화합물 가용성을 향상시키기 위해 알코올(C6-C20)과도 혼합될 수 있다. 적합한 알코올의 예는 1-옥탄올, 1-데칸올(1-decanol), 2-데칸올 및 1-도데칸올이다.
용매 혼합 비율은 상기 이미지 정착 단계동안 정착 화합물 가용성 및 레지스트 이미지 보존(integrity)을 극대화시키도록 상기 정착 용매 시스템 기준에 따라 달라질 것이다. 그러므로, 혼합 비율은 0 내지 100%일 수 있다.
상기 정착 화합물은 상기 감광성 조성물의 중합체 내의 앵커기에 대해 반응하는 적어도 두 개의 작용기들을 포함한다. 상기 작용기들은 동일하거나 다를 수 있다. 정착 화합물 작용기들의 예는, 이로 제한됨 없이, 상기 기재된 알칼리 가용화기, 카복실산 무수물(carboxylic acid anhydride), 에폭시드(epoxide), 이소시아네이트(isocyanate), 티오페놀(thiophenol), 또는 아미노기들을 포함한다. 상기 정착 화합물은 알킬, 사이클릭, 지환식 및/또는 방향족 골격을 포함할 수 있고 중합(polymeric)할 수 있다. 중합 정착 화합물(polymeric fixer compound)의 예는, 이로 제한됨 없이, 20 몰% 그리시딜 아크릴레이트 및 80 몰% 메틸아크릴레이트 공중합체, 및 이소시아네트 말단기를 갖는 폴리에틸렌 글리콜(isocyanato terminated polyethylene glycol)을 포함한다. 중합 정착제가 사용되는 경우, 저분자량 올리고 머가 바람직하다. 바람직한 정착 화합물은 디아민 또는 트리아민과 같은 폴리아민이다. 폴리아민의 예는 1,4-펜탄디아민, 1,6-헥산디아민, 1,5-펜탄디아민, 1,4-사이클로헥산디아민, 1,4-디아미노벤젠, 1,4-비스-아미노메틸벤젠, 1,3,5-트리스-아미노메틸벤젠이다.
상기 정착 화합물의 작용기들의 동일성(identity)을 상기 중합체의 앵커 작용기의 선택과 함께 결정한다. 상기 중합체 앵커기가 사이클릭 무수물(cyclic anhydride)과 같은 친전자성 잔기(electrophilic moiety)인 경우, 상기 정착 화합물은 아미노기 및 티올(thiol)기와 같은 친핵성 작용기들(nucleophilic functionalities)을 포함한다. 상기 중합체 앵커기가 아민기와 같은 친핵성 잔기(nucleophilic moiety)인 경우, 상기 정착 화합물은 에폭시기, 무수물기, 이소시아네이트기 및 티오시아네이트기와 같은 친전자성 작용기를 포함한다. 상기 중합체가 친전자성 앵커기를 포함하고, 상기 정착 화합물이 친핵성기들을 포함하는 것이 바람직하다.
친전자성기들과 친핵성기들의 반응으로 아미드(amide), 티오에스테르(thioester), 티오아미드(thioamide), 에테르(ether), 또는 아민(amine)과 같이 상기 앵커기들 및 상기 정착 화합물 상의 다수의 반응 부위들로 인해 상기 필름과 교차 결합(crosslink)할 안정된 작용기들이 생산된다. 이로 인해 상기 필름의 유기 용매 가용성이 변화한다.
본 발명의 목적을 위해, 상기 정착 화합물이 구성원자로서 실리콘을 포함하지 않는 것이 중요하다. 실리콘을 상기 정착 화합물에 삽입하면 상기 하지층의 식 각 후 형상 크기(feature size)의 확장을 초래할 수 있는데, 이는 하부층들의 식각 후에 형상 크기가 확장되는 결과를 초래한다.
상기 정착액에서 상기 정착 화합물의 농도는 0.2 내지 20wt%, 보다 바람직하게는 0.5 내지 10wt%, 가장 바람직하게는 0.5 내지 5wt%일 수 있다.
상기 정착액은 또한 선택적으로 첨가제를 포함할 수 있다. 가능한 첨가제는 상기 중합체의 앵커기와 상기 정착기의 반응을 촉진할 화합물이다. 이러한 촉매제의 예는 트리에틸아민, 트리헥실아민, 트리옥틸아민, 트리도데실아민, 트리에톡시아민, N.N-디메틸벤질아민, 1,5-디아자바이사이클로[4.3.0]논-5-엔 (DBN), 1,4-디아자바이사이클로[2.2.2]옥탄 (DABCO) 또는 1,8-디아자바이사이클로[5.4.0]운덱-7-엔 (DBU)과 같은 비친핵성 3급 아민(non-nucleophilic tertiary amine)이다.
상기 촉매제는 상기 정착 화합물에 비해 0.1 내지 100wt%, 보다 바람직하게는 0.2 내지 50wt%, 가장 바람직하게는 0.5 내지 5wt%의 양으로 상기 정착액에 가해질 수 있다.
계면활성제는 상기 패터닝된 웨이퍼 표면 상의 정착액의 코팅성 및/또는 습윤성을 향상시키기 위해 가해질 수 있는 기타 선택적인 첨가제이다. 적당한 계면활성제는 상기 정착 용매의 가용성 및 활성(activity)에 따라 선택된다. 유기 용매에서는 비이온 계면활성제가 바람직하다. 상기 정착기 또는 앵커기가 알코올이면 유기 용매에서 수산기가 없는 비이온 계면활성제가 바람직하다. 유기 용매에서 플루오르화된 비이온 계면활성제가 보다 바람직하다. 수성 정착용매(aqueous based fixer solvent)에서, 상기 계면활성제는 비이온, 음이온, 양성이온, 또는 양이온 계면활성제일 수 있다. 3M™ Novec™ 불소계면활성제(fluorosurfactant) FC-4430, 3M™ Novec™ 불소계면활성제 FC-4432, 및 3M™ Novec™ 불소계면활성제 FC-4434는 3M회사에서 제공된 비이온성 플루오르화된 계면활성제의 예이다. 트로이 화학(Troy Chemicals Industry, Inc.)의 비이온성 실록산계 계면활성제인 트로이졸(Troysol) S-366, 다우 케미컬(Dow Chemical)의 DOWFAX 63N30, 다이니폰 잉크 화학공업(Dainippon Ink & Chemicals, Inc.)의 불소계 계면활성제인 Megafac R08, 및 BASF의 서피놀(Surfynol) 104® 플루로닉(Pluronic)® P84, 및 플루로닉® 17R2와 같은 서피놀® 시리즈 계면활성제가 적당한 비이온 계면활성제의 추가 예들이다. 스테판(Stepan Industries)의 아코소프트(ACCPSOFT)® 501, 카오 주식회사(Kao Corporation)의 쿠아르타민(QUARTAMIN) 6OW 및 사니솔(SANISOL) C는 적당한 양이온 계면활성제의 예이다. BASF의 루텐짓(Lutensit)-A-LBA, 파라 케미컬(Para-Chem)의 스탠팩스(Stanfax) 1012 및 스탠팩스 972는 적당한 음이온 계면활성제의 예이다. 자켐(Jarchem Industries)의 타이놀린(Tainolin) CAPB, 및 스테판(Stepan Industries)의 암포졸(AMPHOSOL)® DM은 적당한 양성이온 계면활성제의 예이다. 비이온 계면활성제가 바람직하다.
계면활성제의 농도는, 상기 정착액에서 사용되는 경우, 약 50ppm 내지 약 10,000ppm이다. 계면활성제의 바람직한 농도는, 상기 정착액에서 사용되는 경우, 약 100ppm 내지 약 5000ppm이다. 계면활성제의 보다 바람직한 농도는, 상기 정착액에서 사용되는 경우, 약 100ppm 내지 약 1000ppm이다.
중합체들은 상기 정착 화합물들의 코팅 매트릭스(coating matrix) 및 촉매제 와 같은 임의의 추가적인 요소들로서 상기 정착액에 선택적으로 가해질 수 있다. 상기 매트릭스에 대한 바람직한 중합체들은 정착 용매에 용해가능해야하고, 상기 정착 요소들과 반응하지 않아야 하고, 상기 레지스트 중합체의 앵커기들과 반응성이 낮아야하며, 균일한 코팅층(coatings)을 형성하는 능력을 가져야한다. 따라서, 이러한 중합체들을 포함하는 이미지 정착액은 상기 현상된 이미지상에서 회전시켜(spun) 얇은 필름 함유 중합체, 이미지 정착 화합물 및 기타 첨가제들을 얻게 된다. 이러한 필름은 상기 현상된 이미지들을 캡슐화하고 상기 정착 화합물들을 상기 앵커기들과 가까운 거리에 균일하게 배치한다. 허용가능한 중합체들의 예는 이로 제한되는 것 없이 폴리(에틸렌 옥사이드)(poly(ethylene oxide)), 폴리(프로필렌 옥사이드)(poly(propylene oxide) 및 폴리비닐 알코올을 포함한다. 이어서 상기 중합체 매트릭스는 후속 단계에서 세정액(rinse solution)에 의해 제거된다.
상기 정착액의 중합체의 농도는, 중합체가 사용되는 경우, 약 0.5% 내지 약 20%이다. 상기 정착액의 중합체의 바람직한 농도는, 중합체가 사용되는 경우, 약 1% 내지 약 15%이다. 상기 정착액의 중합체의 보다 바람직한 농도는, 중합체가 사용되는 경우, 약 3% 내지 약 10%이다. 상기 정착액의 중합체의 가장 바람직한 농도는, 중합체가 사용되는 경우, 약 4% 내지 약 7%이다.
상기 이미지 정착과정은 약 10℃ 내지 40℃의 온도에서 액침(immersion), 분사(spray), 연철(puddling), 또는 당업자에게 공지된 기타 유사한 방법들로 상기 이미지 정착액을 적용하여 수행할 수 있다. 코팅 트랙(coating track)은 이미지 정착제를 코팅된 기판상에 적용하는 바람직한 방법이다. 제재(material)는 일반적으 로 상기 트랙 내에서 유출(stream) 또는 분사 방식으로 분배된다. 상기 분배과정에서 정적 또는 동적 코팅 방법이 통상적으로 채택된다
정적 분배 공정(static dispense process)에서, 상기 웨이퍼가 존재하는 동안 임의의 원하는 양의 제재(material)가 상기 웨이퍼 상으로 분배될 수 있지만, 일반적으로 0.1ml 내지 100ml의 이미지 정착제가 상기 웨이퍼로 적용되어, 웨이퍼 상에 퍼들(puddle)을 형성할 것이다. 상기 분배 공정 이후, 상기 웨이퍼를 임의의 원하는 기간 동안, 그러나 통상적으로 1초 내지 10분 동안 10 내지 5000rpm의 회전속도(spin speed)로 회전시킬 것이다. 상기 회전(spin) 단계는 다수의 단계 공정으로 이루어져서 상기 용액이 균일하게 퍼지고, 상기 필름이 건조될 것이다. 이러한 공정은 임의의 원하는 온도, 그러나 일반적으로 약 10℃ 내지 40℃의 온도에서 수행될 수 있다.
동적 분배 공정(dynamic dispense process)에서, 상기 웨이퍼가 회전하는 동안 임의의 원하는 양의 제재(material)가 상기 웨이퍼 상으로 분배될 수 있지만, 일반적으로 0.1ml 내지 100ml의 이미지 정착제가 상기 웨이퍼 상에 적용될 것이다. 상기 분배 공정 이후, 상기 웨이퍼를 임의의 원하는 기간 동안, 그러나 통상적으로 1초 내지 10분 동안 10 내지 5000rpm의 회전속도(spin speed)로 회전시킬 것이다. 이러한 공정은 임의의 원하는 온도, 그러나 일반적으로 약 10℃ 내지 40℃의 온도에서 수행될 수 있다.
온도 제어 코팅 척(temperature controlled coating chuck) 또는 프리-플레이트(pre-plate)는 상기 웨이퍼 온도를 더욱 안정화시키기 위해 사용될 수 있다.
또는, 이미지화된 레지스트층을 갖는 상기 반도체 기판을 상기 코팅 트랙으로부터 제거하여, 상기 이미지 정착 공정을 완료할 수 있다. 이러한 방법으로 상기 웨이퍼를 약 5초 내지 30분 동안 약 10℃ 내지 40℃의 온도에서, 원하는 용액을 포함하는 실험용액(bath solution)에 넣을 수 있다(submersed).
상기 이미지 정착 응용 단계 및 임의의 선택적인 베이킹 단계 이후 바로, 세정 단계 이전에 추가적으로 선택적인 처리 단계들이 일어날 수 있다. 적당한 처리 단계들은, 이로 제한됨 없이, 산(acid)을 포함한 중합체 용액(polymer solution)으로의 처리 단계, 및 상기 정착액에 대해 상기 기재된 바와 같은 방식으로 수행되는 후속 베이킹 단계 및 기타 베이킹 단계들을 포함한다.
상기 산(acid)을 포함한 중합체 용액(polymer solution)은 용매, 중합체, 및 산을 포함한다. 다른 실시예에서, 상기 용액은 중합성 산(polymeric acid) 및 용매를 포함한다. 상기 용매는 산에 대해 안정적이고 상기 정착된 레지스트 이미지들을 용해, 변형 또는 크게 팽창시키지 않는 한 모든 용매일 수 있다. 따라서 적절한 용매 시스템은 상기 정착된 레지스트 이미지 가용성에 따라 선택될 것이다. 세정 용매(rinse solvent)의 구체적인 예는, 이로 제한됨 없이, 프로필렌글리콜 모노메틸 에테르(PGME), 2-헵탄온, 에틸렌 글리콜 모노에틸 에테르 아세테이트(PGMEA), 디에틸렌 글리콜 디메틸 에테르와 같은 전형적인 레지스트 캐스팅 용매들을 포함한다. 상기 용매는 또한 물, 알코올 또는 알코올과 물의 혼합물, 또는 알코올 이나 물 중 하나 또는 둘 다와 상기 기재된 레지스트 캐스팅 용매와 같은 기타 융화가능한 용매들과의 혼합물일 수 있다.
상기 산을 포함한 중합체 용액에 이용된 중합체는 산에 대한 안정성을 가져야하고 상기 사용되는 용매에서 용해가능해야한다. 적당한 중합체의 예는, 이로 제한됨 없이 폴리(에틸렌 옥사이드) 및 폴리(프로필렌 옥사이드)를 포함한다. 중합성 산을 이용한 실시예에서, 적당한 예는, 이로 제한됨 없이 폴리아크릴산(polyacrylic acid), 폴리메타크릴산(polymethacrylic acid), 및 폴리비닐술폰산(polyvinylsulfonic acid)을 포함한다. 상기 산을 포함한 중합체 용액의 중합체의 농도는 약 0.5% 내지 약 20%이다. 상기 산을 포함한 중합체 용액의 중합체의 바람직한 농도는 약 1% 내지 약 15%이다. 상기 산을 포함한 중합체 용액의 중합체의 보다 바람직한 농도는 약 3% 내지 약 10%이다. 상기 산을 포함한 중합체 용액의 중합체의 가장 바람직한 농도는 약 4% 내지 약 7%이다.
상기 산을 포함한 중합체 용액에서 사용될 수 있는 산의 종류는 선형, 알킬 카복실산, 알킬 디카복실산, 아릴카복실산, 알킬 술폰산(alkyl sulfonic acid), 아릴술폰산(arylsulfonic acid), 퍼플루오로알킬술폰산(perfluoroalkylsulfonic acid) 및 무기산(inorganic acid)이다. 바람직한 산의 예는 아세트산(acetic acid), 프로피온산(propionic acid), 벤조산(benzoic acid), 캠포술폰산(camphorsulfonic acid), 데칸술폰산(decanesulfonic acid), 파라-톨루엔술폰산(para-toluenesulfonic acid) 및 퍼플루오로부탄술폰산(perfluorobutanesulfonic acid)이다. 상기 산을 포함한 중합체 용액에서 산의 농도는 일반적으로 약 0.5% 내지 약 20%이다. 상기 산을 포함한 중합체 용액에서 바람직한 산의 농도는 일반적으로 약 1% 내지 약 15%이다. 상기 산을 포함한 중합체 용액에서 보다 바람직한 산의 농도는 일반적으로 약 2% 내지 약 10%이다. 상기 산을 포함한 중합체 용액에서 가장 바람직한 산의 농도는 일반적으로 약 3% 내지 약 7%이다.
상기 세정 공정은 상기 정착된 레지스트 이미지들을 용해, 변형 또는 크게 팽창시키지 않는 한 모든 용매들을 사용할 수 있다. 따라서 적절한 세정 용매 시스템은 상기 정착된 레지스트 이미지 가용성에 따라 선택될 것이다. 세정 용매(rinse solvent)의 구체적인 예는, 이로 제한됨 없이, 프로필렌글리콜 모노메틸 에테르(PGME), 2-헵탄온, 에틸렌 글리콜 모노에틸 에테르 아세테이트(PGMEA), 디에틸렌 글리콜 디메틸 에테르 및 에틸 락테이트(ethyl lactate)와 같이 전형적인 레지스트 캐스팅 용매들 및 에지비드제거 용매(edge bead remover solvent)들을 포함할 수 있다.
또는, 상기 세정 공정은, 물이 적당한 세정 용매인 경우 상기 반도체 트랙 시스템의 현상제 모듈에서 일어날 수 있다. 물, 또는 수용성 용매만을 사용하거나, 물과 수용성 용매를 혼합하여 사용하거나, 세정수(water rinse)와 이어서 이소프로판올 세정제(isopropanol rinse)를 연속적으로 사용할 수 있다. 세정 공정을 트랙에서, 또는 이미지 정착 공정에서 기술된 바와 같은 액침 모드에서 수행될 수 있다.
또한, 상기 세정액(rinse solution)은 첨가제를 포함할 수 있다. 이러한 첨가제 중 하나는 산이다. 염기성 화합물들(basic compound)이 임의의 잔류하는 미반응 정착 화합물들 또는 염기성 촉매제들을 중화(neutralize)하기 위해 상기 정착액에서 사용되는 경우 산이 선택적으로 가해질 수 있다. 사용될 수 있는 산의 종류는 선형, 알킬 카복실산, 알킬 디카복실산, 아릴카복실산, 알킬 술폰산(alkyl sulfonic acid), 아릴술폰산(arylsulfonic acid), 퍼플루오로알킬술폰산(perfluoroalkylsulfonic acid) 및 무기산(inorganic acid)이다. 바람직한 산의 예는 아세트산(acetic acid), 프로피온산(propionic acid), 벤조산(benzoic acid), 캠포술폰산(camphorsulfonic acid), 데칸술폰산(decanesulfonic acid), 파라-톨루엔술폰산(para-toluenesulfonic acid) 및 퍼플루오로부탄술폰산(perfluorobutanesulfonic acid)이다.
산의 농도는, 상기 세정액(rinse solution)에 사용되는 경우, 통상적으로, 약 0.5% 내지 약 20%이다. 바람직한 산의 농도는, 세정액에 사용되는 경우, 약 1% 내지 약 15%이다. 보다 바람직한 산의 농도는, 세정액에 사용되는 경우, 약 1% 내지 약 10%이다. 가장 바람직한 산의 농도는, 세정액에 사용되는 경우, 약 1% 내지 약 7%이다.
또는, 상기 세정액은 양이온 교환 화합물(cation exchange compound)을 포함할 수 있다. 적당한 양이온 교환 화합물은, 이로 제한됨 없이, 4급암모늄 하이드록사이드(quaternary ammonium hydroxide) 및 기타 4급암모늄염(quaternary ammonium salt)을 포함한다. 4급암모늄염의 예는 테트라메틸 암모늄 하이드록사이드(tetramethyl ammonium hydroxide), 하이드록시에틸암모늄 하이드록사이드(hydroxyethylammonium hydroxide), 테트라하이드록시에틸암모늄 하이드록사이드(tetrahydroxyethylammonium hydroxide), 테트라메틸암모늄 아세테이트(tetramethylammonium acetate), 테트라메틸암모늄 프로피오네이 트(tetramethylammonium propionate), 테트라메틸암모늄 락테이트(tetramethylammonium lactate), 테트라에틸 암모늄 포르메이트(tetraethyl ammonium formate), 트리메틸하이드록시에틸암모늄 아세테이트(trimethylhydroxyethylammonium acetate), 트리메틸하이드록시에틸암모늄 포르메이트(trimethylhydroxyethylammonium formate), 트리메틸하이드록시에틸암모늄 락테이트(trimethylhydroxyethylammonium lactate), 테트라메틸암모늄 시트레이트(tetramethylammonium citrate), 및 테트라메틸암모늄 타트레이트(tetramethylammonium tartrate)를 포함한다.
양이온 교환 화합물의 농도는, 상기 세정액에 사용되는 경우, 통상적으로 약 0.5% 내지 약 20% 사이이다. 바람직한 양이온 교환 화합물의 농도는, 상기 세정액에 사용되는 경우, 약 1% 내지 약 15%이다. 보다 바람직한 양이온 교환 화합물의 농도는, 상기 세정액에 사용되는 경우, 약 2% 내지 약 10%이다. 가장 바람직한 양이온 교환 화합물의 농도는, 상기 세정액에 사용되는 경우, 약 3% 내지 약 7%이다.
계면활성제는 상기 패터닝된 웨이퍼 표면들에 대한 습윤성(wetting ability)을 향상시키기 위해 상기 세정액에 가해질 수 있는 또 다른 선택적 첨가제이다. 바람직한 계면활성제는 상기 세정 용매와 융화가능하다. 유기 용매계 세정제에 대한 바람직한 계면활성제는 비이온 계면활성제와 폴리실록산계 계면활성제이다. 가장 바람직한 계면활성제는 불소계 비이온 계면활성제(fluorinated nonionic surfactant)이다. 물을 포함한 세정제에 대한 바람직한 계면활성제는 비이온 계면활성제다.
계면활성제의 농도는, 상기 세정액에 사용되는 경우, 약 50ppm 내지 약 10,000ppm이다. 계면활성제가 상기 세정액에 사용되는 경우, 그 농도가 약 100ppm 내지 약 5000ppm인 것이 바람직하다. 계면활성제가 상기 세정액에 사용되는 경우, 그 농도가 약 100ppm 내지 약 1000ppm인 것이 보다 바람직하다.
상기 세정 공정은 약 10℃ 내지 40℃ 사이의 온도에서, 약 1초 내지 약 30분 동안 수행될 수 있다. 상기 이미지 정착 공정 및 세정 공정에 이어서 스핀 건조(spin drying), 공기 건조(air drying), 또는 선택적인 베이킹 단계로 인한 건조 단계가 수행될 수 있다. 스핀 건조 공정(spin drying process)에서, 상기 웨이퍼는 임의의 원하는 기간 동안, 그러나 통상적으로 1초 내지 10분 동안 약 10 내지 5000rpm의 속도로 회전한다. 공기 건조 공정(air drying process)에서 상기 용매를 약 1초 내지 30분 동안 대기 조건하에서(under ambient conditions) 증발시킨다. 상기 선택적인 베이킹 단계에서, 트랙 핫 플레이트(track hotplate) 또는 대류식오븐(convection oven) 또는 기타 적절한 가열 방법을 이용하여 상기 웨이퍼를 약 1초 내지 30분 동안 상승하는 온도에서, 약 17℃ 내지 250℃의 온도에서 베이킹한다.
상기 다양한 정착 후 처리 단계들에 이어서, 하지층의 제2코팅층이 선택적으로 가해지고 앞서 기재된 바와 같이 베이킹 단계에서 경화될 수 있다. 상기 하지층은 상기 공정에 최초로 적용된 층과 동일하거나 다를 수 있고, 서로 다른 두께로 되어 있을 수 있다.
이어서 감광성 조성물이 제2감광성 조성물 코팅 단계에서 코팅되고, 앞서 기 재된 바와 같이 선택적으로 베이킹하여 다중층 스택을 생성한다. 이러한 코팅 단계는 상기 이중층 릴리프 이미지 상에서 또는 상기 제2하지층 코팅층 상에서 일어날 수 있다. 상기 제2감광성 코팅 단계에서 사용된 감광성 조성물은 제1감광성 조성물과 동일하거나 다를 수 있다. 그러나, 상기 제2감광성 조성물은 여전히 실리콘을 함유해야한다. 바람직하게는, 상기 이미지층의 두께는 약 50nm 내지 약 1000nm이다. 상기 두께는 상기 선택적인 제2하지층 코팅층의 사용 여부에 따라 영향을 받을 것이다. 상기 이미지층의 두께는 약 100nm 내지 약 500nm인 것이 보다 바람직하다.
그 후에 상기 다중층 스택을 상기 제1감광성 조성물에 대해 앞서 기재된 허용가능한 상에 따른 노광 방법들 중 하나를 이용하여 이미지화하며(imaged), 이것은 상기 제1감광성 조성물에 대해 사용된 노광 방법과 동일하거나 다를 수 있다. 이러한 제2노광 단계에서, 상기 제2노광패턴의 배치(placement)는 상기 제1노광패턴으로부터 소정 양만큼 상쇄된다.
이어서 상기 노광된 다중층 스택을 상기 노광된 제1감광성 조성물에 대해 기재된 방법들을 이용하여 선택적으로 베이킹하고, 현상액에 현상하며, 세정하고 건조시킨다. 상기 선택적인 베이킹, 현상, 세정 및 건조 공정들의 상세한 설명은 제1감광성 조성물에 대한 설명과 동일하거나 다를 수 있다. 상기 패터닝된 레지스트에 대해 상기 기재된 바와 같이 리플로우 베이킹 단계를 수행한다.
원한다면, 상기 기재된 방식과 동일한 방식으로 포토레지스트의 추가적인 층들 또는 포토레지스트 및 하지층을 적용하여 처리할 수 있다. 각 층에서, 상기 노광 패턴의 배치는 이전 노광 패턴으로부터 소정 양만큼 상쇄된다.
이러한 이중 패터닝 공정에서, 상기 임계 면적(CD)은 상기 레지스트 이미지 CD의 폭이 증가하는 방식으로 상기 공정의 두 가지 다른 단계들에 영향을 받을 수 있다. 우선, 상기 처음 패터닝된 레지스트 이미지는 정착 단계 이후 넓어질 수 있다. 이것은 정착 단계 동안 상기 정착제 모듈의 상기 레지스트 이미지로의 대량 흡수(mass uptake)의 결과로 여겨진다. 두 번째로, 상기 정착된 이미지는 상기 제2패터닝 단계 이후 성장할 수 있다. 이러한 이미지 성장에 대한 이유는 잘 이해되지 않는다. 상기 두 단계에서 CD 성장의 범위는, 정착제 종류, 정착제 농도, 베이킹 온도 및 세정제를 포함하지만 이로 제한되지 않는 다수의 처리 변수들에 의해 영향을 받을 수 있다.
장치들의 완벽한 제조를 위해 추가적인 단계들이 필요하다. 이러한 단계들은 특정 장치에 따라 변할 수 있다. 그러나, 대부분 추가적인 단계들은 상기 이미지화된 다중층 스택을 플라즈마-식각 환경에 배치는 것으로 시작하여 상기 하지층(또는 반사방지) 필름(들)이 레지스트의 제거로 노광된 영역에서 제거되도록 할 것이다. 이러한 과정을 상기 이미지화된 다중층 스택을 마스크로 사용하여 기체 플라즈마 식각(gas plasma etching)으로 수행함으로써, 마이크로 패턴(micro pattern)을 형성한다. 플라즈마 식각 유기 ARC 물질들 또는 하지층 필름들에 대한 식각 기체 혼합물들은 미국 특허 5,773,199호, 5,910,453호, 6,039,888호, 6,080,678호, 및 6,090,722호에 개시되어 있다. 물론, 상기 '199 특허는 CHF3 + CF4 + O2 + Ar의 기체 혼합물을 개시하고; 상기 '453 특허는N2 + He + O2 또는 N2 + O2 또는 N2 + He의 기체 혼합물들을 개시하며; 상기 '888은 O2 + CO의 기체 혼합물을 개시하고; 상기 '678 특허는O2 + SO2의 기체 혼합물을 개시하며; 상기 722 특허는 C2F6 + Ar의 기체 혼합물을 개시한다. 상기 방사선-민감성 레지스트에 삽입된 실리콘은 산소를 포함하는 식각 기체(etch gas)로 인해 플라즈마에 노광되는 경우 이산화 규소(silicon dioxide)를 형성하고, 상기 레지스트가 식각되는 것을 보호하여 릴리프 구조가 상기 하지층 필름에 형성되어 상기 기본 기판(underlaying substrate)의 일부를 노광시킨다. 질소계 식각 기체(Nitrogen based etch, 예를 들면 N2/He 또는 N2/H2)가 질화 규소(silicon nitride) 또는 수소화된 질화 규소 필름(hydrogenated silicon nitride film)을 생성하는 것으로 여겨진다.
상기 플라즈마 식각 단계에 이어서, 일반적으로 상기 기판의 현재 노광된 부분들에 대해 적어도 추가 처리 단계를 수행하여, 상기 다중층 스택에 의해 커버되지 않은 영역들의 기판을 변화시킨다. 통상적으로, 이것은 미세한 불순물(dopant)의 주입(implantation), 상기 기판상의 다른 물질의 침전(deposition) 또는 상기 기판의 식각일 수 있다. 통상적으로 불소/산소 플라즈마 식각 또는 N2/H2 플라즈마 식각으로 상기 다중층 스택을 상기 기판으로부터 제거하는 단계가 일반적으로 이어진다.
다른 실시예에서, 본 발명은 다중 노광 패터닝(multiple exposure patterning)으로 반도체 장치를 제조하기 위한 다중 노광 패터닝 공정에 관한 것으로 다음 단계들을 포함한다:
a) 반사방지 코팅(antireflective coating)으로 코팅된 반도체 기판을 제공하는 단계,
b) 제1코팅 단계에서, 이중층 스택(bilayer stack)을 생성하기 위하여 제1감광성 조성물을 상기 코팅된 반도체 기판상에 적용하는 단계,
c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라(imagewise manner) 화학방사선(actinic radiation)에 노광시켜 제1패턴을 생성하는 단계,
d) 릴리프 이미지를 포함하는 이미지화된(imaged) 이중층 스택을 생성하기 위하여 수성 현상액(aqueous base developer)으로 상기 노광된 제1감광성 조성물을 현상하는 단계,
e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액(fixer solution)을 적용하는 단계
g) 선택적인 베이킹(bake) 단계를 적용하는 단계,
h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
i) 두 번째 선택적인 베이킹 단계를 적용하는 단계,
j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계,
k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜 상기 제2노광패턴의 배치(placement)가 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계,
l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및
m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계,
여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성(aqueous base solubility)이 증가하는, 실리콘 원자를 함유하지 않는 실질적으로 수성인 불용성 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 정착 화합물(polyfunctional fixer compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재한다.
이 실시예는 이전 실시예와 많은 면에서 유사하다. 하지층 대신 하부 반사방지코팅(bottom anti-reflective coating)의 사용 및 실리콘 함유 중합체 대신 포토레지스트의 실리콘 미함유 중합체의 사용과 관련된 중요 차이점들, 및 이러한 차이점들의 세분화(ramification).
포토레지스트와의 하부 방사방지코팅(bottom antireflective coating, BARC)의 이용은 당업자에게 공지되고, 예를 들면, 본원에서 참조된 미국 특허 6,670,425 호, 5,919,599호, 5,234,990호, 7,026,101호, 6,887,648호, 6,653,049호, 6,602,652호, 5,733,714호, 6,803,168호, 6,274,295호 및 6,187,506호에서 알 수 있다. 248nm 리소그래피에 적당한 유기 BARC의 예는, 이로 제한됨 없이, 브리월 사이언스(Brewer Science Inc.)의 ARC®82A, ARC®66, DUV32, DUV44, DUV44P, DUV54 및 DUV64을 포함한다. 통상적인 단일층 193nm BARC는 AZ의 ArF-1C5D, ArF 1C6B, ArF 2C6B, ArF 38, ArF 45, 브리월 사이언스(Brewer Science Inc.)의 ARC 29A, ARC 28, 및 롬앤하스(Rohm and Haas)의 AR 19를 포함한다.
조성물에서, BARC는 하지층들과 유사점들이 있다. 그러나, BARC는 보다 얇은 필름(들)의 반사를 제어하기 위해 상이한 광학 특성들(예를 들면 높은 흡광도(absorbance))로 설계된다. 또한, BARC는 BARC 위에 코팅된 많은 양의 실리콘 미함유 이미지 레지스트를 식각하지 않기 위해 산화 식각 공정(oxidative etch process)로 빠르게 제거되도록 설계된다. 반대로, 상기 하지층들은 보다 두꺼운 필름(들) 전용으로 설계되며, 낮은 흡광도를 나타내고, 기판 식각 공정들, 이미지 층/BARC 시스템의 상기 실리콘 미함유 레지스트에 지정된 요건의 영향을 받지 않도록 설계된다.
상기 B.A.R.C. 두께는 상기 리소그래피 용도(lithographic application)에 적당한 두께일 수 있다. 단지 하나의 B.A.R.C. 필름이 사용되는 경우에 상기 B.A.R.C. 필름의 두께는 약 60nm 내지 약 150nm인 것이 바람직하다. 단지 하나의 B.A.R.C. 필름이 사용되는 경우에 상기 B.A.R.C. 필름의 두께는 약 70nm 내지 약 100nm인 것이 보다 바람직하다.
또한 상기 기판은 선택적으로 다중층 BARC로 코팅될 수 있다. 높은 NA 노광 도구들(NA>1)의 출현으로 주장할 필요가 있는 새로운 도전이 시작되었다. 즉 높은 NA 시스템으로 도입된 넓은 범위의 입사각으로 반사된 빛을 극소화하는 것으로 액침 노광로 달성될 수 있다. 단일(층) BARC 시스템들은 문헌[참조: SPIE Proceedings, Vol. 6153, p. 56 (2006), 및 SPIE Proceedings, Vol. 5753, p. 49 (2005)]에 기재된 바와 같이 높은 NA 노광 도구들로 반사성(reflectivity)을 극소화하는데 효과적이지 않다. 넓은 범위의 입사각으로 상기 기판 반사된 빛을 극소화하기 위해, 다중층 BARC 구성(scheme)은 유용하다. 상기 두 BARC층들의 광학 특성들 및 두께는 SPIE 회보(SPIE Proceedings), 문헌 [참조: SPIE Proceedings, Vol. 5753, p. 49]에 기재된 바와 같이 반사성을 1% 미만으로 조절하기에 최적화될 수 있다. 또한, 상기 BARC의 식각 특성들은 건조 식각 플라즈마에서 높은 식각 비율에 도달하기 위해 조절되어 상기 이중 BARC 시스템으로의 효과적인 패턴 이동을 용이하게 한다. 다중층 B.A.R.C.의 사용 및 이의 일반적인 특성들은 문헌[참조: Advances in Resist Technology and Processing, volume 5753 pp417-435 (2005), volume 6519 pp651928-1 to 651928-10, 651929-1 to 651929-10, 및 65192A-1 to 65192A-8 (2007)]에 기재되어 있다.
식각 단계(들)의 상기 포토레지스트 층의 과도한 식각이 상기 이미지화된 영역에서 BARC(들)을 제거하는 것을 방지하도록 총 BARC 두께를 비슷하게 유지하기 위하여, 상기 처음 적용된 하부 반사-방지코팅(하부 BARC)의 두께는 상기 단일 B.A.R.C. 경우보다 얇을 것이다. 이중층 BARC 시스템으로, 상기 하부 BARC용 필름 두께는 약 10nm 내지 약 80nm이다. 상기 하부 BARC용 BARC 두께는 약 20nm 내지 약 60nm인 것이 바람직하다. 상기 하부 BARC용 필름 두께는 약 20nm 내지 약 50nm인 것이 보다 바람직하다.
식각 단계(들)의 상기 포토레지스트 층의 과도한 식각이 상기 이미지화된 영역에서 BARC(들)을 제거하는 것을 방지하도록 총 BARC 두께를 비슷하게 유지하기 위하여, 상기 두 번째 적용된 하부 반사-방지코팅(상부 BARC)의 두께도 더 얇아질 것이다. 이중층 BARC 시스템으로, 상기 상부 BARC용으로 채택된 필름 두께는 약 20nm 내지 약 100nm이다. 상기 상부 BARC용 BARC 두께는 약 20nm 내지 약 80nm인 것이 바람직하다. 상기 상부 BARC용 필름 두께는 약 20nm 내지 약 60nm인 것이 보다 바람직하다.
상기 포토레지스트 필름/BARC 스택에서 포토레지스트 필름 두께는 리소그래피 성능 및 상기 BARC 및 이어서 상기 기판으로 전달되는 이미지에 대한 플라즈마 식각 저항성을 제공하기 위한 필요에 대해 최적화된다. 상기 포토레지스트 필름 두께는 약 50nm 내지 약 500nm인 것이 바람직하다. 상기 포토레지스트 필름 두께는 약 80nm 내지 약 250nm인 것이 보다 바람직하다. 상기 포토레지스트 필름 두께는 약 100nm 내지 약 170nm인 것이 가장 바람직하다.
상기 사용되는 실리콘 미함유 중합제는 상기 앵커기들 및 산 민감기들과 관련된 이전 실시예에 기재된 실리콘 함유 중합체들과 유사할 수 있다. 그러나, 상기 중합체에 기판 플라즈마 식각 저항 잔기들(substrate plasma etch resistance moieties)을 포함하는 것을 더욱 강조하여 설계한다. 적당한 중합체들의 예는, 이 로 제한됨 없이, US7258963, US7122291, US7084227, US7033740, US7022455, US6365322, US6410620, US 556734, US 5492793, US 5679495, US 5670299, 및 US 7217496호에 기재된 중합체를 포함한다.
도 1은 종래의 이중 노광 패터닝 공정 및 식각 공정들의 개요를 도시한 도면이고,
도 2는 본 발명의 이중 노광 패터닝 공정 및 식각 공정들의 개요를 도시한 도면이며,
도 3은 본 발명에 따라 형성된 이중 패턴 이미지(double patterned image)를 도시한 도면이다.
실험
정착제 제제 실시예 1
이미지 정착액
이미지 정착액을 헥사메틸렌디아민(hexamethylenediamine) 4 중량부, 데칸(decane) 69 중량부 및 2-옥탄올(2-octanol) 27중량부로 구성하여 제조하였다. 상기 구성요소들을 갈색 유리병(amber glass bottle)에서 혼합하여 24시간 동안 회전시켰다.
리소그래피 공정 실시예 1
DNS 8OB 코팅 트랙을 이용하여 후지필름 일렉트로닉 머티리얼즈(FUJIFILM Electronic Materials U.S.A., Inc.)의 TIS 248UL-01-50 하지층을 200mm 실리콘 웨이퍼에 적용하여 스핀 코팅하여, 상기 DNS 8OB 내에 장착된 인라인 베이크 플레이트(inline bake plate)를 이용하여 70초 동안 200℃에서 베이킹(baking)한 후 필름 두께는 500nm가 된다. 상기 DNS 8OB 코팅 트랙을 이용하여 후지필름 일렉트로닉 머티리얼즈(FUJIFILM Electronic Materials U.S.A., Inc.)의 TIS 248IL-01-23 이미지층 포토레지스트(imaging layer photoresist), 화학증폭형, 실리콘 및 무수물 함유 레지스트를 상기 하지층에 적용하여, 90초 동안 125℃에서 베이킹한 후 필름 두께는 239nm가 된다. 하지층과 포토레지스트의 필름 스택(film stack)으로 된 상기 웨이퍼에 캐논 EX6 248nm 스텝퍼(stepper)를 이용하여 포커스 노광 매트릭스(focus exposure matrix)로, 선 간격 패턴들(line space pattern)을 포함하는 2진 마스크(binary mask)를 통해 조사하였다. 상기 스텝퍼 조명 설정은 0.80의 외부 시그마(outer sigma) 및 0.50의 내부 시그마(inner sigma)로 된 고리형 설정의 0.65의 개구수를 포함한다.
상기 노광 단계에 이어서 상기 웨이퍼를 90초 동안 115℃에서 베이킹한 후, 후지필름 일렉트로닉 머티리얼즈(FUJIFILM Electronic Materials U.S.A., Inc.)의 0.26N TMAH계 용액인 OPD 262 현상액을 이용하여 현상하였다. 상기 현상액을 10초 동안 분배한 후, 55초 정적 퍼들 현상(static puddle development), 탈이온수(DI water) 세정 및 스핀 건조 단계가 이어졌다. 일련의 선 간격 패턴들을 형성하였다.
상기 정착제 제제 실시예 1에 기재된 이미지 정착액을 상기 이미지화된 웨이 퍼(imaged wafer)에 적용하여, 2krpm으로 회전시켰다. 7초 동안 탈이온수 세정 단계가 이어졌다. 그 후에, 상기 DNS 8OB 트랙을 이용하여 상기 웨이퍼를 4krpm으로 스핀 건조하였다.
TIS 248IL-01-23 이미지층 포토레지스트의 제2코팅막(coat)을 상기 DNS 8OB 코팅 트랙을 이용하여 상기 웨이퍼 상의 정착된 이미지층에 적용하였다. 상기 2진 마스크를 90°회전시킨 것을 제외하고는, 상기 다중층 필름을 상기 제1감광성 조성물을 처리하는데 이용된 베이킹, 노광, 베이킹, 현상, 세정, 및 건조 단계들을 통해 처리하였다. 도 3에서 보이는 바와 같이 상기 이미지층들의 현저한 혼합 없이 패터닝된 선들의 제1세트와 수직이고 가교 결합하는 선들의 제2세트로 이중 패터닝된 이미지를 형성하였다. 이것은 본 발명의 상기 공정을 상기 노광 도구의 적절한 오버레이(overlay) 및 정렬 성능으로 수행할 수 있도록 본 발명의 상기 공정의 중요한 측면을 보여준다.
일반 리소그래피 절차 1
우선 실리콘 웨이퍼를 하지층 필름(UL), TIS193UL-52-50(후지필름 마이크로일렉트로닉(FujiFilm Microelectronics, Inc.))로 스핀-코팅하고, 200℃에서 90초 동안 베이킹하여 160nm UL 두께를 얻었다. TIS193UL-52-50은 미국 특허 US 6,916,543에 기재된 유형 중 하나이다. 이어서 이미지층(IL), TIS193IL-PH (B50, FujiFilm Microelectronics, Inc.)을 스핀-코팅으로 상기 하지층에 가하고, 135℃에서 90초 동안 후 베이킹한 결과(post-apply baked, PAB), 130nm 두께의 IL 필름을 수득하였다. TIS193IL-B50은 삽입된 무수물 작용기들을 갖는 중합체 및 실리콘 함유 잔기들을 포함하는 화학증폭형 감광성 이미지층(IL)이다. 그 다음, 상기 IL을 선 및 간격 패턴들을 포함하는 6% 줄어든 위상전이 포토마스크(6% attenuated phase-shift photomask)를 통해 0.75의 개구수 및 C-Quad 조명(0.92σo/0.72σi)의 ASML PAS 5500 /1100 (ArF, 193nm 엑시머 레이저)) 상에서 노광시켰다. 다이(die)가 포커스/노광 매트릭스(focus/exposure matrix)의 전형적인 초점 및 노광량의 점진적인 변화와 함께 인쇄되었다. 웨이퍼들을 90초 동안 100℃에서 노광 후 베이킹(postexposure bake, PEB)하고, IL 패턴들을 OPD-262로 60초 동안 퍼들 공정(puddle process)을 통해 현상하였다. 현상 후 30초 탈이온수(DI) 세정 및 스핀-건조 단계가 이어졌다. 이러한 절차로 형성된 상기 통상적인 표적 임계 면적(CD)은 1:1의 듀티 사이클(duty cycle)로 80nm와 160nm 선들 및 간격들 사이에 있었다.
일반 리소그래피 절차 2
일반 리소그래피 절차 2는 고리형 조명(0.85σo/0.55σi)을 정착된 초점 및 노광(특정 실험에 따라 17-20mJ/cm2)을 이용하여 사용한 것을 제외하고는 일반 리소그래피 절차 1과 동일하다. 이러한 절차로 형성된 통상적인 표적 임계 면적은 80 나노미터(nm) 선들 및 160nm 간격들(반-밀집 형상들(semi-dense features) 또는 80nm 선들 및 800nm 간격들(분리된 형상들(isolated features))이다.
정착 절차
리소그래피 절차 1 또는 2를 통해 릴리프 패턴(relief pattern)을 형성한 후 에, 이전에 형성된 이미지들이 포토레지스트 용액들 및 포토레지스트 용액들 내에 포함된 유기 캐스팅 용매(들)에 용해되지 않도록 하기 위해 정착 단계를 수행하였다. 상기 정착 공정으로 퍼들 공정(Puddle Process, PP) 또는 스핀-코팅 공정(Spin-Coat Process, SCP)을 채택하였다.
퍼들 공정( PP )
상기 코팅제(coater) 및 현상액 트랙의 현상액 모듈 내에서, 통상적인 현상 단계 동안 형성된 레지스트 현상 퍼들(resist developer puddle)과 유사한 방식으로, 대략 70 밀리리터의 정착액을 상기 웨이퍼의 가장자리(edge)에 닿는 퍼들(puddle)을 형성하기 위해 패터닝된 웨이퍼 상에 직접 천천히 부었다. 60초 후, 상기 정착 퍼들을 분리하고(spun off) 상기 결과 웨이퍼 표면을 정착-후 베이킹 단계 이전 30초 동안 탈이온수(DI water)로 세정하거나(베이킹 전 세정(rinse before bake): RBB), 정착-후 베이킹 단계 이후 30초 동안 탈이온수로 세정하였다(세정 전 베이킹(bake before rinse): BBR). 정착-후 베이킹 단계의 온도 및 기간은 상기 구체적인 실험에 상술된 바와 같이 달라진다.
스핀 코팅 공정( SCP )
상기 코팅제 및 현상액 트랙의 코팅제 모듈 내에서, 대략 2 밀리리터의 정착액을, 정착제 필름을 형성하기 위해 30초 동안 약 2000RPM으로 회전시킨 패터닝된 웨이퍼 상에 피펫(pipette)으로 직접 분배하였다. 이어서 상기 웨이퍼에 대해 다양한 온도 및 기간에서 정착-후 베이킹 단계를 수행한 후 30초 탈이온수 세정 단계를 수행하였다.
일반 정착제 제제 절차
상기 실시예에 기재된 정착제 구성요소들을 갈색 병 내에서 혼합하여 모든 구성요소들이 용해될 때까지 회전시켰다.
정착제 제제 실시예들 2-26
정착제 제제 가교제/정착제 양 그램 용매 1 양 그램 용매 2 양 그램 중합체 양 그램 계면활성제 양 그램 총 양 그램
2 헥사메틸렌디아민 0.99 데칸 16.4 2-옥탄올 6.5 24.0
3 헥사메틸렌디아민 0.62 데칸 3.6 2-옥탄올 10.8 15.0
4 헥사메틸렌디아민 0.62 데칸 7.2 2-옥탄올 7.2 15.0
5 헥사메틸렌디아민 0.62 데칸 10.3 2-옥탄올 4.1 15.0
6 헥사메틸렌디아민 0.62 데칸 12.9 2-옥탄올 1.4 15.0
7 헥사메틸렌디아민 0.62 탈이온수 3.6 에틸 락테이트 10.8 15.0
8 헥사메틸렌디아민 0.62 탈이온수 7.2 에틸 락테이트 7.2 15.0
9 헥사메틸렌디아민 0.62 탈이온수 10.8 에틸 락테이트 3.6 15.0
10 헥사메틸렌디아민 0.62 탈이온수 12.9 에틸 락테이트 1.4 15.0
11 헥사메틸렌디아민 0.62 탈이온수 14.4 15.0
12 헥사메틸렌디아민 0.25 탈이온수 49.8 50.0
13 헥사메틸렌디아민 0.62 탈이온수 13.6 서피놀465(1% 수용액) 0.75 15.0
14 헥사메틸렌디아민 0.01 탈이온수 18.0 폴리(에틸렌 글리콜) 0.99 서피놀465(1% 수용액) 1.0 20.0
15 헥사메틸렌디아민 0.05 탈이온수 18.0 폴리(에틸렌 글리콜) 0.95 서피놀465(1% 수용액) 1.0 20.0
16 헥사메틸렌디아민 0.10 탈이온수 18.0 폴리(에틸렌 글리콜) 0.90 서피놀465(1% 수용액) 1.0 20.0
17 헥사메틸렌디아민 0.25 탈이온수 18.0 폴리(에틸렌 글리콜) 0.75 서피놀465(1% 수용액) 1.0 20.0
18 헥사메틸렌디아민 0.50 탈이온수 18.0 폴리(에틸렌 글리콜) 0.50 서피놀465(1% 수용액) 1.0 20.0
19 헥사메틸렌디아민 0.25 탈이온수 47.3 플루로닉P84(1%수용액) 2.5 50.0
20 헥사메틸렌디아민 0.50 탈이온수 47.0 플루로닉P84(1%수용액) 2.5 50.0
21 헥사메틸렌디아민 1.00 탈이온수 46.5 플루로닉P84(1%수용액) 2.5 50.0
22 헥사메틸렌디아민(70% 수용액) 28.6 탈이온수 1771.4 플루로닉P84(1%수용액) 200.0 2000.0
23 헥사메틸렌디아민(70% 수용액) 57.1 탈이온수 1742.9 플루로닉P84(1%수용액) 200.0 2000.0
24 헥사메틸렌디아민(70% 수용액) 85.7 탈이온수 1714.3 플루로닉P84(1%수용액) 200.0 2000.0
25 헥사메틸렌디아민(70% 수용액) 114.3 탈이온수 1685.7 플루로닉P84(1%수용액) 200.0 2000.0
26 헥사메틸렌디아민(70% 수용액) 1.79 탈이온수 223.2 플루로닉P84(1%수용액) 25.0 250.0
정착제 제제 실시예들 27-44
정착제 제제 가교제/정착제 양 그램 용매 1 양 그램 중합체 양 그램 계면활성제 양 그램 총 양 그램
27 헥사메틸렌디아민 0.25 탈이온수 17.0 폴리(에틸렌 글리콜) 0.73 플루로닉P84(1%수용액) 2.0 20.0
28 헥사메틸렌디아민 0.50 탈이온수 17.0 폴리(에틸렌 글리콜 0.48 플루로닉P84(1%수용액) 2.0 20.0
29 헥사메틸렌디아민 0.75 탈이온수 17.0 폴리(에틸렌 글리콜 0.23 플루로닉P84(1%수용액) 2.0 20.0
30 헥사메틸렌디아민(70% 수용액) 50.0 탈이온수 580.0 플루로닉P84(1%수용액) 70.0 700.0
31 헥사메틸렌디아민(70% 수용액) 75.0 탈이온수 555.0 플루로닉P84(1%수용액) 70.0 700.0
32 헥사메틸렌디아민 0.09 탈이온수 17.0 폴리(에틸렌 글리콜 0.90 플루로닉P84(1%수용액) 2.0 20.0
33 헥사메틸렌디아민 0.06 탈이온수 17.0 폴리(에틸렌 글리콜 0.93 플루로닉P84(1%수용액) 2.0 20.0
34 헥사메틸렌디아민 0.02 탈이온수 17.0 폴리(에틸렌 글리콜 0.96 플루로닉P84(1%수용액) 2.0 20.0
35 헥사메틸렌디아민(70% 수용액) 2.9 탈이온수 357.1 플루로닉P84(1%수용액) 40.0 400.0
36 헥사메틸렌디아민(70% 수용액) 2.0 탈이온수 358.0 플루로닉P84(1%수용액) 40.0 400.0
37 헥사메틸렌디아민(70% 수용액) 1.1 탈이온수 358.9 플루로닉P84(1%수용액) 40.0 400.0
38 헥사메틸렌디아민(70% 수용액) 0.3 탈이온수 359.7 플루로닉P84(1%수용액) 40.0 400.0
39 4,4-메틸렌비스(2-메틸사이클로헥실아민) 0.2 데칸 19.8 20.0
40 4,4-메틸렌비스(2-메틸사이클로헥실아민) 1.0 데칸 19.0 20.0
41 4,4-메틸렌비스(2-메틸사이클로헥실아민) 2.0 데칸 18.0 20.0
42 헥사메틸렌디아민(70% 수용액) 7.1 탈이온수 442.9 플루로닉P84(1%수용액) 50.0 500.0
43 헥사메틸렌디아민(70% 수용액) 0.36 탈이온수 18.9 폴리(에틸렌글리콜)디메틸 에테르 0.75 20.0
44 헥사메틸렌디아민(70% 수용액) 0.14 탈이온수 19.0 폴리(에틸렌글리콜)디메틸 에테르 0.90 20.0
정착제 제제 실시예들 45-62
정착제 제제 가교제/정착제 양, g 용매 1 양, g 용매 2 양, g 중합체 양, g 계면활성제 양, g 첨가제 양, g 총 양, g
44 헥사메틸렌디아민(70% 수용액) 0.14 탈이온수 19.0 폴리(에틸렌글리콜)디메틸 에테르 0.90 20.0
45 헥사메틸렌디아민(70% 수용액) 0.36 탈이온수 18.9 폴리(에틸렌글리콜)디메틸 에테르 0.06 DBU 0.69 20.0
46 헥사메틸렌디아민(70% 수용액) 0.14 탈이온수 19.0 폴리(에틸렌글리콜)디메틸 에테르 0.63 DBU 0.28 20.0
47 에틸렌디아민 7.0 탈이온수 623.0 플루로닉P84(1%수용액) 70.0 700.0
48 에틸렌디아민 3.0 탈이온수 267.0 플루로닉P84(1%수용액) 30.0 300.0
49 에틸렌디아민 0.34 탈이온수 19.0 폴리(에틸렌글리콜)디메틸 에테르 0.75 20.1
50 4,4-디아미노비벤질 0.25 탈이온수 28.9 폴리(에틸렌글리콜)디메틸 에테르 0.75 pTSA 0.52 30.4
51 4,4-디아미노비벤질 0.25 탈이온수 19.0 폴리(에틸렌글리콜)디메틸 에테르 0.75 아세트산 3.5 23.5
52 에틸렌디아민 7.8 탈이온수 694.2 플루로닉P84(1%수용액) 78.0 780.0
53 에틸렌디아민 1.5 탈이온수 133.5 플루로닉P84(1%수용액) 15.0 150.0
54 에틸렌디아민 1.5 탈이온수 100.1 IPA 33.4 플루로닉P84(1%수용액) 15.0 150.0
55 에틸렌디아민 1.5 탈이온수 66.8 IPA 66.8 플루로닉P84(1%수용액) 15.0 150.0
56 에틸렌디아민 1.5 탈이온수 33.4 IPA 100.1 플루로닉P84(1%수용액) 15.0 150.0
57 에틸렌디아민 1.5 IPA 133.5 플루로닉P84(1%수용액) 15.0 150.0
58 에틸렌디아민 0.28 탈이온수 17.0 폴리(에틸렌글리콜) 0.73 플루로닉P84(1%수용액) 2.0 20.0
59 에틸렌디아민 0.17 탈이온수 17.0 폴리(에틸렌글리콜) 0.81 플루로닉P84(1%수용액) 2.0 20.0
60 에틸렌디아민 0.10 탈이온수 17.0 폴리(에틸렌글리콜) 0.88 플루로닉P84(1%수용액) 2.0 20.0
61 에틸렌디아민 8.5 탈이온수 756.5 플루로닉P84(1%수용액) 85.0 850.0
62 에틸렌디아민 18.0 탈이온수 1764.0 플루로닉P84(1%수용액) 18.0 1800.0
정착-후 세정 절차( Post - Fixing Rinse Procedure )
일부 공정들은 정착-후 세정제(PFR)라 불리는 특정 세정액을 사용하였다. 이러한 특정 공정은 상기 기재된 정착 공정과 함께 수행된다. 모든 경우에, 상기 정착 공정의 표준 탈이온수 세정 단계 후(상기 정착 절차들 모두에 기재된 바와 같이), 대략 70 밀리리터의 PFR을 상기 웨이퍼 상에 직접 천천히 부어서 상기 웨이퍼 가장자리에 닿는 퍼들(puddle)을 형성하였다. 상기 퍼들을 60초 동안 상기 웨이퍼 상에 머무르게 한 후 분리하였다(spun off). 이어서 웨이퍼들에 대해 이전 탈이온수 세정 공정과 동일한 또 다른 탈이온수 세정 공정을 수행하였다. 모든 후속 공정 단계들을 상기 특정 실시예에 따라 수행하였다.
정착-후 세정제 제제 실시예들
정착-후 세정제 제제 ID 첨가제 양, g 용매 1 양, g 계면활성제 양, g 총 양, g
A 트리프릭산 5.0 탈이온수 445.0 플루로닉P84(1% 수용액) 50.0 500.0
B 트리프릭산 2.25 탈이온수 200.3 플루로닉P84(1% 수용액) 22.5 225.0
C 캠포술폰산 1.0 탈이온수 89.0 플루로닉P84(1% 수용액) 10.0 100.0
D 무첨가 무첨가 탈이온수 90.0 플루로닉P84(1% 수용액) 10.0 100.0
E 트리프릭산 2.8 탈이온수 249.2 플루로닉P84(1% 수용액) 28.0 280.0
일반 리소그래피 절차 3
이중 패터닝 리소그래피 절차-(스크리닝 모드 ( screening mode ))
상기 초기 이미지화 단계로부터 제조된 선들의 폭 변화와 같은 상기 이중 패터닝 절차의 효과 및 결과 중 일부는 스크리닝 모드의 이중 패터닝 리소그래피 절차를 이용하여 평가할 수 있다. 이러한 모드에서 상기 제2노광은 블랭킷 노광(blanket exposure)로 상기 제2이미지층이 현상제에 의해 제거되어 원이미지(original image)에 대한 효과를 평가할 수 있다.
TIS193IL-PH (B50) 포토레지스트를 정착된 이미지 패턴들을 포함하는 웨이퍼 상에 스핀-코팅으로 적용하고 135℃에서 90초 동안 베이킹한 결과(post-apply baked, PAB), 레지스트 필름 두께는 130nm가 된다. 이어서 상기 웨이퍼들을 고리형 조명(0.85σo/0.55σi)을 이용한 ASML PAS 5500/1100 상에서 (포토마스크 없이) 오픈 프레임(open frame)을 통해 다량 노광하였다(flood exposed). 웨이퍼들에 대해 90초 동안 100℃에서 노광-후 베이킹(post-exposure bake, PEB)하고, IL 패턴들을 OPD-262로 60초 동안 퍼들 공정을 통해 현상하였다. 현상 후 30초 탈이온수 세정 및 스핀-건조 단계가 이어졌다.
일반 리소그래피 절차 4
이중 패터닝 리소그래피 절차
TIS193IL-PH (B50) 포토레지스트를 정착된 이미지 패턴을 포함하는 웨이퍼 상에 스핀-코팅으로 적용하고 135℃에서 90초 동안 베이킹한 결과(post-apply baked, PAB), 레지스트 필름 두께는 130nm가 된다. 이어서 상기 웨이퍼들을 상기 일반 리소그래피 절차에서 사용된 동일한 포토마스크를 통해 노광시켰다. 그러나, 이러한 제2이미지화 단계에서, 상기 포토마스크는 ASML PAS 5500/1100 스캐너에 의해 적정 거리만큼 기계적으로 이동되어 상기 원래 정착된 선들과 맞물린 새로운 선들을 형성하므로 이중 패터닝이 이루어졌다.
이러한 절차의 목적에 있어서, 상기 일반 리소그래피 절차 (제1패터닝 단계) 동안 형성된 원 표적 형상(original target feature)의 CD는 80nm 선들 및 800nm 간격들이다. 상기 포토마스크는 세로로 y-방향, 가로로 x-방향으로 패터닝된 테스트 선들(test line)을 포함한다. 제2패터닝 단계에서, 상기 레티클(reticle)을 x-방향으로만 이동시켜 제2패턴의 선들(800nm 간격으로 분리된 80nm 선들)이 상기 정착된 패턴과 평행하게 인쇄될 것이다. 제1이미지화 단계의 원래 정착된 선들과 제2이미지화 단계의 새로 형성된 선들 사이에 80nm 간격 또는 360nm 간격을 형성하기 위해, 상기 포토마스크를 상기 제2패터닝 단계에서, 원래의 x-위치에서 160nm 또는 440nm만큼 각각 이동시켰다. 160nm x-이동(shift)에 대해, 상기 결과 공칭 이중 패턴(nominal double pattern)은 다음 반복 단위로 구성된 선 및 간격들의 반복 조합일 수 있다: 80nm 정착된 선/80nm 간격/80nm 제2패터닝된 선/560nm 간격. 따라서, 80nm 제2패터닝된 선은 80nm 정착된 선 근처 80nm 내에서 인쇄된다. 440nm x-이동(shift)에 대해, 상기 결과 공칭 이중 패턴(nominal double pattern)은 다음 반복 단위로 구성된 선 및 간격들의 반복 조합일 수 있다: 80nm 정착된 선/320nm 간격/80nm 제2패터닝된 선/320nm 간격. 이러한 방식으로, 상기 80nm 정착된 선 및 제2패터닝된 선들은 320nm 간격으로 동일하게 분리되어 있다.
웨이퍼들을 고리형 조명(0.85σo/0.55σi)을 이용한 ASML PAS 5500/1100 상에 노광시켰다. 웨이퍼들에 대해 90초 동안 100℃에서 노광-후 베이킹(post-exposure bake, PEB)하고, IL 패턴들을 OPD-262로 60초 동안 퍼들 공정을 통해 현상하였다. 현상 후 30초 탈이온수 세정 및 스핀-건조 단계가 이어졌다.
리소그래피 공정 실시예들 2-17
하기 조건들을 리소그래피 공정 실시예들 2-17에 적용한다:
● 최초 이미지화(imaging): 일반 리소그래피 절차 2
● 정착제 제제(fixer formulation) ID: 정착제 제제 62
● 정착 공정: 베이킹 전 30초 탈이온수 세정하는 퍼들 정착 공정
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 4
● 실시예마다 하나의 웨이퍼를 사용하고 상기 원래 포토레지스트 선들 상에서 웨이퍼마다 15 포인트 측정한 하향식(top-down) CD SEM 측정치(CD SEM measurements)를 바탕으로 한 CD 데이터.
리소그래피 공정 실시예 # 정착 후 베이킹 온도, ℃ 정착 후 베이킹 시간(초) 추가 공정 기록 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
2 165 90 160nm 레티클 이동 7.4 14.6
3 165 90 440nm 레티클 이동 -14.6
4 175 90 160nm 레티클 이동 5.7 12.9
5 175 90 440nm 레티클 이동 -28.2
6 185 90 160nm 레티클 이동 4.9 -12
7 185 90 440nm 레티클 이동 -31.4
8 195 90 160nm 레티클 이동 3.6 -21.4
9 195 90 440nm 레티클 이동 -40.2
10 200 30 160nm 레티클 이동 4.3 -1.7
11 200 30 440nm 레티클 이동 -29.9
12 200 90 160nm 레티클 이동 4.4 -20
13 200 90 440nm 레티클 이동 -32.2
14 200 150 160nm 레티클 이동 5.5 -8.8
15 200 150 440nm 레티클 이동 -32
16 205 90 160nm 레티클 이동 5.8 -7.5
17 205 90 440nm 레티클 이동 -37.6
리소그래피 실시예들 2-17에서, 두 가지 리소그래피 이미지화 단계들로부터의 포토레지스트 선들이 리소그래피 절차 4 이후에 성공적으로 생성되었다. 상기 실험들은 또한 상기 정착 공정의 시간 및 온도가 제1패터닝된 이미지들의 CD 변화를 조절하고, 상기 이미지화 공정을 용이하게 하는데 있어서 중요한 변수들이라는 것을 나타낸다.
리소그래피 공정 실시예 18-38
정착 결과를 스크리닝하는 리소그래피 공정
하기 조건들을 리소그래피 공정 실시예들 18-38에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 1
● 정착 절차 베이킹 온도는 90초 동안 130℃이다.
● 패턴들이 성공적으로 정착되었는지 여부는, 상기 정착 절차의 적용 및 상기 웨이퍼를 PGMEA 배스(bath)에 60초 동안 침지하고 그 표면을 압축 공기로 건조시키는 추가적인 공정 이후, 웨이퍼들의 단면 SEM 평가로 판단하였다. 정착 품질은 단면 이미지 또는 CD SEM 이미지 중 어느 하나의 육안 검사(visual inspection)로 판단하였다. 상기 정착 및 이중 패터닝 리소그래피 두 공절 이후 상기 선 간격 패턴 충실도(line space pattern fidelity)가 손상되지 않은 경우, 이미지화된 패턴들을 정착되었다고 말할 수 있다(Y). 부분적으로 정착된 패턴들은 상기 정착 공정 또는 이중 패터닝 리소그래피 공정에 의해 선 출실도가 현저히 섭동된(perturbed) 예들이다. 이러한 경우 선들은 스며들거나 용해된 것처럼 보였고, 상기 예상된 패턴은 더 이상 식별 불가능했지만, 일부 레지스트 필름은 남아있었다. 상기 이미지화된 패턴이 완전히 용해되거나 필름 잔여물만이 남는 지점까지 용해된 경우, 패턴들은 "정착되지 않았다"고 기재하였다 (N).
리소그래피 공정 실시예 # 정착제 제제 정착 공정(PP 또는 SCP) 세정/베이킹 순서(RBB 또는 BBR) 세정 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n)
18 2 SCP BBR 15 y
19 3 SCP 없음 y
20 4 SCP 없음 y
21 5 SCP 없음 y
22 5 SCP BBR 15 y
23 6 SCP 없음 y
24 7 SCP BBR 15 n
25 8 SCP BBR 15 y
26 9 SCP BBR 15 y
27 10 SCP BBR 15 y
28 11 SCP BBR 15 y
29 12 SCP BBR 15 y
30 13 SCP BBR 15 y
31 14 SCP BBR 15 n
32 15 SCP BBR 15 n
33 16 SCP BBR 15 n
34 17 SCP BBR 15 y
35 18 SCP BBR 15 y
36 19 PP BBR 15 일부
37 20 PP BBR 15 y
38 21 PP BBR 15 y
상기 두 가지 세정/베이킹 순서대로 한 정착 공정들 둘 다 일반적으로 상기 이미지들을 정착시키는데 적당하다.
리소그래피 공정 실시예들 39-50
이중 패터닝 리소그래피 절차 - (스크리닝 모드 )
하기 조건들을 리소그래피 공정 실시예들 39-50에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착제 공정: 상기 베이킹 단계 이후 15초 탈이온수 세정하는 퍼들 정착 공정
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 3
● 실시예마다 두 개의 웨이퍼들을 사용하고 웨이퍼마다 119 포인트 측정한 하향식 CD SEM 측정치를 바탕으로 한 CD 데이터.
리소그래피 공정 실시예 # 정착제 제제 정착 후 베이킹 온도, ℃ 정착 후 베이킹 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
39 22 100 90 y 7.9 34.3
40 22 115 90 y 7.6 33.7
41 22 130 90 y 7.8 31.1
42 23 100 90 y 7.9 42.1
43 23 115 90 y 7.9 42.3
44 23 130 90 y 8.5 41.6
45 24 100 90 y 7.4 38.7
46 24 115 90 y 7.6 39.4
47 24 130 90 y 8.2 39.6
48 25 100 90 y 7.3 34.5
49 25 115 90 y 7.5 30.9
50 25 130 90 y 8.8 24.4
상기 실험의 변수들(헥사메틸렌디아민 1중량% 내지 4중량% 및 90초 동안 100℃ 내지 130℃의 정착제 베이킹 온도) 내에서, 이러한 설정의 모든 공정 실시예들은 우수한 정착을 보여주었다. 상기 정착 공정에서는 CD 선폭이 적당히 증가했고 상기 제2패터닝 단계에서는 CD 선폭이 현저히 증가했다.
리소그래피 공정 실시예들 51-90
하기 조건들을 리소그래피 공정 실시예들 51-90에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착제 공정: 퍼들 정착 공정
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 3
● 실시예마다 하나의 웨이퍼를 사용하고 웨이퍼마다 15 포인트 측정한 하향식 CD SEM 측정치를 바탕으로 한 CD 데이터
리소그래피 공정 실시예 # 정착제 제제 정착 후 베이킹 온도,℃ 정착 후 베이킹 시간(초) 세정/베이킹 순서(RBB 또는 BBR) 탈이온수 세정 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
51 22 130 90 BBR 15 y 8.23 37.32
52 22 130 90 RBB 15 n 7.59 선 없음
53 22 180 90 BBR 15 y 9.01 32.71
54 26 180 90 BBR 15 y 9.63 33.27
55 25 100 90 BBR 15 y 7.79 25.87
56 25 100 90 BBR 90 y 9.96 cd 없음-일부 선 존재
57 25 100 180 BBR 15 y 7.28 25.68
58 25 85 90 BBR 15 y 7.78 25.38
59 25 85 180 BBR 15 y 7.13 23.66
60 30 100 90 BBR 15 일부 7.89 선 없음
61 30 100 180 BBR 15 일부 7.49 선 없음
62 31 100 90 BBR 15 일부 11.88 선 없음
63 31 100 180 BBR 15 일부 7.14 선 없음
64 35 180 90 BBR 15 y 8.89 33.81
65 35 180 225 BBR 15 y 9.18 28.23
66 36 180 225 BBR 15 y 8.42 33.66
67 36 180 129 BBR 15 y 8.8 34.91
68 37 180 225 BBR 15 y 9.03 33.55
69 37 180 900 BBR 15 일부 9.86 선 없음
70 38 180 225 BBR 15 y 27.78 34.3
71 38 180 900 BBR 15 일부 선 없음 선 없음
72 42 130 90 BBR 30 y 9.8 32.7
73 42 165 90 BBR 30 y 10 30.13
74 42 200 90 BBR 30 y 8.5 17.54
75 42 200 180 BBR 30 y 7.19 14.38
76 42 235 90 BBR 30 y 3.37 7.44
77 42 235 180 BBR 30 y 3.96 6.71
78 47 110 90 BBR 30 일부 4.1 CD데이터 없음-선들이 스며듬
79 47 165 90 BBR 30 y 4.58 16.43
80 47 110 165 이중 베이킹 90 BBR 30 y cd데이터 없음 15.1
81 47 135 90 BBR 30 일부 4.9 CD데이터 없음
82 47 135 165 이중 베이킹 90 BBR 30 y 5.6 12.2
83 48 165 90 BBR 30 y 5.3 15.9
84 53 165 90 RBB 30 y 3.8 23.91
85 54 165 90 RBB 30 y -6.7 7.56
86 55 165 90 RBB 30 일부 cd데이터 없음 CD데이터 없음
87 56 165 90 RBB 30 일부 cd데이터 없음 CD데이터 없음
88 57 165 90 RBB 30 일부 -15.92 -5.41
89 61 165 90 RBB 30 y 3.7 26.1
90 61 200 90 RBB 30 y 1.9 9.1
리소그래피 공정 실시예들 51-90은 상기 정착제(fixing agent)의 농도 및 크기가 선폭 변화를 조절하기 위해 사용될 수 있다는 것을 나타낸다. 상기 정착제에 따라 달라질 수 있는 상기 정착제의 효과적인 농도가 필요하다. 상기 정착 후 베이킹 단계의 온도 역시 상기 선폭 변화를 조절하기 위해 사용될 수 있다. 상기 정착 후 베이킹 단계 이전 또는 이후에 세정 단계로 이중 정착 후 베이킹 공정을 실행할 수 있다. 정착제의 보조용매(cosolvent)의 사용은 조심스럽게 선택되어야하고 상기 농도는 상기 이미지의 용해를 방지하도록 조절되었다.
리소그래피 공정 실시예들 91-94
정착 결과를 스크리닝하는 리소그래피 공정
하기 조건들을 리소그래피 공정 실시예들 91-94에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착제 공정: 상기 베이킹 단계 이후 15초 탈이온수 세정 단계로 된 스핀 코팅 정착 공정
● 패턴들이 성공적으로 정착되었는지 여부는, 상기 정착 절차의 적용 및 상기 웨이퍼를 PGMEA 배스(bath)에 60초 동안 침지하고 상기 표면을 압축 공기로 건조시키는 추가적인 공정 이후, 웨이퍼들의 하향식 CD SEM 평가로 판단하였다. 정착 품질은 리소그래피 공정 실시예들 17-37에 기재된 바와 같이 평가되었다.
리소그래피 공정 실시예 # 정착제 제제 정착 후 베이킹 온도,℃ 정착 후 베이킹 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm))
91 43 90 165 이중 베이킹 90 90 y 13.5
92 44 90 165 이중 베이킹 90 90 일부 13
93 45 90 165 이중 베이킹 90 90 y 19.4
94 46 90 165 이중 베이킹 90 90 일부 22.3
리소그래피 공정 실시예들 95-99
이중 패터닝 리소그래피 절차 - (스크리닝 모드 )
하기 조건들을 리소그래피 공정 실시예들 95-99에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착제 공정: 상기 베이킹 단계 이후 적용된 15초 탈이온수 세정 단계로 된 스핀 코팅 정착 공정
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 3
● 실시예마다 하나의 웨이퍼를 사용하고 웨이퍼마다 15 포인트 측정한 하향식 CD SEM 측정치를 바탕으로 한 CD 데이터
리소그래피 공정 실시예 # 정착제 제제 정착 후 베이킹 온도, ℃ 정착 후 베이킹 시간(초) 탈이온수 세정 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
95 49 165 90 30 y 8.99 37.19
96 58 135 90 30 y 8.43 33.88
97 58 165 90 30 y 9.61 30.19
98 59 165 90 30 y 10.97 24.55
99 60 165 90 30 y 11.39 34.06
리소그래피 공정 실시예들 95-99는 0.5 질량% 내지 1.7 질량%의 농도의 에틸렌디아민(ethylenediamine)을 함유하는 정착제 제제를 이용한 상기 스핀 코팅 정착제 공정의 정착성(fixing capability)을 보여준다.
리소그래피 공정 실시예들 100-108
이중 패터닝 리소그래피 절차 - (스크리닝 모드 )
하기 조건들을 리소그래피 공정 실시예들 100-108에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착-후 세정 공정의 대상이 되는 모든 제재
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 3
● 실시예마다 하나의 웨이퍼를 사용하고 웨이퍼마다 15 포인트 측정한 하향식 CD SEM 측정치를 바탕으로 한 CD 데이터
공정 실시예 # 정착제 제세 정착제-후 세정제 제제 정착 공정 (PP 또는 SCP) 정착 후 베이킹 온도, ℃ 정착 후 베이킹 시간(초) 세정/베이킹 순서(RBB 또는 BBR) 탈이온수 세정 시간(초) 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
100 61 A PP 165 90 RBB 30 y cd데이터 없음 17.7
101 48 B PP 165 90 BBR 30 y cd데이터 없음 7.7
102 48 B PP 165 90 BBR 30 y 3.4 1.2
103 58 E SCP 135 90 BBR 30 y cd데이터 없음 21.2
104 58 E SCP 165 90 BBR 30 y cd데이터 없음 17.0
105 59 E SCP 165 90 BBR 30 y cd데이터 없음 21.1
106 60 E SCP 165 90 BBR 30 y cd데이터 없음 25.9
107 22 C PP 100 90 BBR 15 y 7.7 42.3
108 25 D PP 100 90 BBR 15 y 8.2 26.0
리소그래피 공정 실시예들 100-108은 상기 SCP 또는 PP 정착 공정 내에서 적용되는 다양한 정착 후 세정 제제들의 사용 가능성을 보여준다. 공정 실시예들 101 및 102는 총 CD 성장를 제한하는데 비교적 효과적이었다.
리소그래피 공정 실시예들 109-111
이중 패터닝 리소그래피 절차 - (스크리닝 모드 )
하기 조건들을 리소그래피 공정 실시예들 109-111에 적용한다:
● 최초 이미지화: 일반 리소그래피 절차 2
● 정착제 제제 ID: 정착제 제제 52
● 정착제 공정: 90초 동안 165℃에서 수행하는 상기 베이킹 단계 이전에 적용된 30초 탈이온수 세정 단계로 된 퍼들 정착 공정
● 이중 패터닝 리소그래피 절차: 일반 리소그래피 절차 3
● 실시예마다 하나의 웨이퍼를 사용하고 웨이퍼마다 15 포인트 측정한 하향식 CD SEM 측정치를 바탕으로 한 CD 데이터
리소그래피 공정 실시예 # 정착제 퍼들 시간, 초 패턴들이 성공적으로 정착하였는가? (y/n) 정착 공정 후 CD 성장(정착 CD-리소그래피 cd (nm)) 이중 패터닝 공정 후 CD 성장(DP 공정 CD-리소그래피 cd (nm))
109 60 Y 3.77 20.6
110 120 Y 2.6 24.8
111 180 Y 3.5 27.2
리소그래피 공정 실시예들 109-111은 정착제 퍼들 공정 시간이 짧을수록 상기 이중 패터닝 공정에서 총 CD 성장가 제한된다는 것을 보여주었다.
리소그래피 공정 실시예 112
이 예에서, 이중 패터닝을 실리콘-미함유 레지스트와 함께 하부 반사-방지막(BARC)을 이용하여 설명한다. 상기 제1이미지를 하기 조건들을 제외하고는 일반 리소그래피 절차 2로 패터닝하였다. 첫 번째로, 상기 UL을 BARC (ARC29A; 브리월 사이언스(Brewer Science Inc.)에서 공급됨)로 대체하고 필름 두께가 90nm가 되도록 코팅한다. 두 번째로, 미국 특허 US5843624호에 기재된 바와 같이 무수물 작용기(anhydride functionality)가 삽입된 실리콘-미함유 중합체를 포함하는 레지스트를 상기 이미지층의 대용으로 사용한다. 결과 이미지를 정착제 제제 62를 사용한 상기 퍼들 공정(PP)를 이용하여 정착시킨다. 상기 정착 절차는 또한 30초 베이킹-전-세정(rinse-before-bake, RBB) 공정 및 175℃ 90초 정착-후 베이킹 공정을 이용한다. 이어서 결과 스택(stack)에 대해, 미국 특허 US5843624호에 기재된 바와 같이 무수물 작용기(anhydride functionality)가 삽입된 실리콘-미함유 중합체를 포함하는 레지스트를 상기 이미지층의 대용으로 사용한 상기 일반 리소그래피 절차 4를 수행한다. 상기 이미지화 두 단계들로부터의 포토레지스트 선들은 성공적으로 인쇄된다.
리소그래피 공정 실시예 113
이 예에서, 추가 단계를 상기 정착/이중 패터닝 공정으로 넣는다. 하지층 필름을 상기 이중 패터닝 절차를 적용하기 전에 상기 정착된 이미지를 캡슐화하는데 사용한다. 상기 제1패터닝 단계에서 사용된 하지층 제제가 10-배 증가한 열산 발생제를 포함하도록 변형시키고 이어서 상기 정착된 이미지상에 코팅한다.
따라서, 상기 제1이미지는 일반 리소그래피 절차 2로 패터닝된다. 결과 이미지를 정착제 제제 61을 사용한 상기 퍼들 공정(PP)을 이용하여 정착시킨다. 상기 정착 절차는 또한 30초 베이킹-전-세정(rinse-before-bake, RBB) 공정 및 175℃ 90초 정착-후 베이킹 공정을 이용한다. 상기 정착된 이미지를 이어서 상기 기재된 광산 발생제보다 높은 농도의 광산 발생제를 포함하는 하지층으로 스핀-코팅한다. 상기 UL 필름을 200℃에서 90초 동안 베이킹하여 공칭 UL 필름 두께가 160nm로 되고 상기 정착된 이미지를 캡슐화한다. 그 후에, 결과 스택(stack)에 대해 상기 일반 리소그래피 절차 4를 수행한다. 상기 이미지화 단계로부터의 포토레지스트 선들은 상기 제2UL상에 성공적으로 인쇄된다.
이어서 최종 이중 패터닝된 이미지를 수득하기 위해, 상기 절차로부터의 상기 스택을 포함하는 웨이퍼에 대해 수직 건조 식각 공정(vertical dry etch process)을 수행하여 어떠한 IL 패턴들로도 가려지지 않는 UL을 식각시킨다. Si를 함유한 상기 IL은 임의의 기본 하지층(underlying underlayer)을 보호하는 우수한 식각 마스크로 이중 패터닝된 이미지들이 높은 충실도를 갖게 된다.
리소그래피 공정 실시예 114
제1코팅 포토레지스트가 60% 하이드록시스티렌과 40% t-부틸 아크릴레이트의 실리콘-미함유 공중합체를 포함하고 상기 정착제 제제가 30% 데칸(decane)/70% 옥탄올(octanol) 용매 시스템중의 20% 글리시딜 아크릴레이트(glycidyl acrylate)-80% 메타크릴레이트(methylacrylate) 공중합체의 5% 용액을 포함한다는 것을 제외하고, 리소그래피 공정 112를 반복하였다. 두 가지 이미지화 단계들 모두로부터의 포토레지스트 선들은 성공적으로 인쇄된다.
이상에서는 본 발명의 바람직한 실시예에 대해서 도시하고, 설명하였으나, 본 발명은 상술한 특정의 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술분야에서 통상의 지식을 가진자라면 누구든지 다양한 변형 실시가 가능한 것은 물론이고, 그와 같은 변경은 청구범위 기재의 범위 내에 있게 된다.

Claims (30)

  1. a) 반사방지 코팅(antireflective coating) 또는 하지층(underlayer)을 구비한 코팅된 반도체 기판을 제공하는 단계,
    b) 제1코팅 단계에서, 이중층 스택(bilayer stack)을 생성하기 위하여 제1감광성 조성물(first photosensitive composition)을 상기 코팅된 반도체 기판상에 적용하는 단계,
    c) 제1노광 단계에서 상기 이중층 스택의 상기 제1감광성 조성물을 상에 따라(imagewise manner) 화학방사선(actinic radiation)에 노광시켜 제1패턴을 생성하는 단계,
    d) 릴리프 이미지를 포함하는 이미지화된(imaged) 이중층 스택을 생성하기 위하여 수성 현상액(aqueous base developer)으로 상기 노광된 제1감광성 조성물을 현상하는 단계,
    e) 계면활성제를 선택적으로 포함하는 수성액체로 상기 릴리프 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
    f) 상기 릴리프 이미지를 안정시키기(고정시키기) 위하여 상기 이미지화된 이중층 스택에 정착액(fixer solution)을 적용하는 단계,
    g) 선택적인 베이킹(bake) 단계를 적용하는 단계,
    h) 계면활성제를 선택적으로 포함하는 액체로 상기 안정화된 이미지를 포함하는 이미지화된 이중층 스택을 세정하는 단계,
    i) 두 번째 선택적인 베이킹 단계를 적용하는 단계,
    j) 제2코팅 단계에서, 다중층 스택(multilayer stack)을 생성하기 위하여 제2감광성 조성물을 상기 이미지화된 이중층 스택 상에 적용하는 단계,
    k) 제2노광 단계에서 상기 다중층 스택의 상기 제2감광성 조성물을 상에 따라 화학방사선에 노광시켜 상기 제2노광패턴이 상기 제1노광패턴으로부터 소정의 양만큼 상쇄된 제2패턴을 생성하는 단계,
    l) 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 생성하기 위하여 상기 노광된 제2감광성 조성물을 수성 현상액으로 현상하는 단계, 및
    m) 상기 제2릴리프 이미지를 포함하는 이미지화된 다중층 스택을 계면활성제를 선택적으로 포함하는 수성액체로 세정하는 단계;를 포함하며,
    여기서, 상기 제1감광성 조성물 및 제2감광성 조성물 각각은 광산 발생제(photoacid generator), 및 산으로의 처리로 수용해성(aqueous base solubility)이 증가하는 실질적으로 수성인 불용성 중합체를 포함하고, 앵커기(anchor group)를 더 포함하며, 상기 정착액은 상기 앵커기와 반응하는 다관능 정착 화합물(polyfunctional fixer compound)을 포함하지만 실리콘을 포함하지 않으며, 상기 반도체 기판은 상기 제1코팅 단계로부터 적어도 최종 노광 단계 이후까지 리소그래피 셀(lithographic cell) 내에 존재하는, 다중 노광 패터닝 공정(multiple exposure patterning process)을 이용한 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 제1감광성 조성물 및 제2감광성 조성물이 동일한 것 인, 반도체 장치 제조 방법.
  3. 제1항에 있어서, 상기 제1감광성 조성물 및 제2감광성 조성물이 서로 다른 것인, 반도체 장치 제조 방법.
  4. 제1항에 있어서, 상기 제공된 반도체 기판을 반사방지 코팅으로 코팅하는 것인, 반도체 장치 제조 방법.
  5. 제1항에 있어서, 상기 앵커기는 산 민감성 보호기들로 보호될 수 있는 카복실산(carboxylic acid), 술폰산(sulfonic acid), 페놀(phenol), 하이드록시이미드(hydroxyimide), 하이드록시메틸이미드(hydroxymethylimide), 실라놀(silanol), 티오페놀(thiophenol), 및 아미노기들, 및 에폭시드(epoxide), 이소시아네이트(isocyanate), 및 카복실산 무수물(carboxylic acid anhydride)로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  6. 제5항에 있어서, 상기 앵커기는 산 민감성 보호기들로 보호될 수 있는 페놀(phenol), 및 산성알코올(acidic alcohol), 에폭시드(epoxide), 및 카복실산 무수물(carboxylic acid anhydride)로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  7. 제1항에 있어서, 상기 정착액은 물을 포함하는 것인, 반도체 장치 제조 방법.
  8. 제7항에 있어서, 상기 정착액은 수혼화성 유기 용매(water miscible organic solvent)를 더 포함하는 것인, 반도체 장치 제조 방법.
  9. 제8항에 있어서, 상기 수혼화성 유기 용매는 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, 프로필렌글리콜 모노메틸 에테르(PGME), 및 에틸 아세테이트로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  10. 제1항에 있어서, 상기 정착액은 비극성 유기 용매(nonpolar organic solvent)인 것인, 반도체 장치 제조 방법.
  11. 제10항에 있어서, 상기 비극성 유기 용매는 하나 이상의 C5 내지 C20 선형, 가지형 또는 사이클릭 알칸인 것인, 반도체 장치 제조 방법.
  12. 제10항에 있어서, 상기 비극성 유기 용매는 헥산, 사이클로헥산, 옥탄, 데칸 및 도데칸 또는 이의 혼합물들로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  13. 제1항에 있어서, 상기 앵커기와 반응하는 다관능 정착 화합물의 작용기는 카복실산(carboxylic acid), 술폰산(sulfonic acid), 페놀(phenol), 하이드록시이미드(hydroxyimide), 하이드록시메틸이미드(hydroxymethylimide), 실라놀(silanol), 카복실산 무수물(carboxylic acid anhydride), 에폭시드(epoxide), 이소시아네이트(isocyanate), 티오페놀(thiophenol), 및 아미노기로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  14. 제13항에 있어서, 상기 앵커기와 반응하는 다관능 정착 화합물의 작용기는 아미노기인 것인, 반도체 장치 제조 방법.
  15. 제1항에 있어서, 상기 정착액은 하나 이상의 계면활성제를 함유하는 것인, 반도체 장치 제조 방법.
  16. 제15항에 있어서, 상기 계면활성제는 비이온 계면활성제, 음이온 계면활성제, 양성이온 계면활성제(amphoteric surfactant), 및 이의 혼합물들로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  17. 제1항에 있어서, 상기 정착액은 중합체를 더 포함하는 것인, 반도체 장치 제조 방법.
  18. 제17항에 있어서, 상기 중합체는 폴리에틸렌 옥사이드(polyethylene oxide), 폴리프로필렌 옥사이드(polypropylene oxide), 및 폴리비닐 알코올(polyvinyl alcohol)로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  19. 제1항에 있어서, 상기 세정액은 하나 이상의 포토레지스트 캐스팅 용매(casting solvent) 또는 에지비드제거제 용매(edge bead remover solvent), 또는 물, 또는 이의 혼합물들을 포함하는 것인, 반도체 장치 제조 방법.
  20. 제19항에 있어서, 상기 포토레지스트 캐스팅 용매 또는 에지비드제거제 용매는 프로필렌글리콜 모노메틸 에테르(PGME), 2-헵탄온, 에틸렌 글리콜 모노에틸 에테르 아세테이트(PGMEA), 디에틸렌글리콜 디메틸 에테르, 및 에틸 락테이트(ethyl lactate)로 구성된 그룹으로부터 선택되는 것인, 반도체 장치 제조 방법.
  21. 제1항에 있어서, 상기 세정액은 물을 포함하는 것인, 반도체 장치 제조 방법.
  22. 제19항에 있어서, 상기 세정액은 산(acid)을 더 포함하는 것인, 반도체 장치 제조 방법.
  23. 제22항에 있어서, 상기 산은 술폰산 또는 카복실산인 것인, 반도체 장치 제조 방법.
  24. 제1항에 있어서, 상기 노광 파장은 250nm 미만인 것인, 반도체 장치 제조 방법.
  25. 제1항에 있어서, 상기 노광 파장은 200nm 미만인 것인, 반도체 장치 제조 방법.
  26. 제1항에 있어서, 상기 베이킹 단계는 상기 세정 단계 바로 이전에 실행되는 것인, 반도체 장치 제조 방법.
  27. 제1항에 있어서, 상기 베이킹 단계는 상기 세정 단계 직후에 실행되는 것인, 반도체 장치 제조 방법.
  28. 제1항에 있어서, 상기 제공되는 반도체 기판은 하지층으로 코팅되고 상기 실질적으로 수성인 불용성 중합체는 실리콘을 함유하는 것인, 반도체 장치 제조 방법.
  29. 제28항에 있어서, 제2하지층이 존재하는 것인, 반도체 장치 제조 방법.
  30. 제1항에 있어서, 상기 정착제(fixer)는 중합성(polymeric)인 것인, 반도체 장치 제조 방법.
KR1020097013314A 2006-12-06 2007-12-04 이중 패터닝 공정을 이용한 장치 제조 방법 KR20090095604A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US87311706P 2006-12-06 2006-12-06
US60/873,117 2006-12-06
US90221307P 2007-02-20 2007-02-20
US60/902,213 2007-02-20

Publications (1)

Publication Number Publication Date
KR20090095604A true KR20090095604A (ko) 2009-09-09

Family

ID=39492840

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097013314A KR20090095604A (ko) 2006-12-06 2007-12-04 이중 패터닝 공정을 이용한 장치 제조 방법

Country Status (6)

Country Link
US (1) US20080199814A1 (ko)
EP (1) EP2089774A2 (ko)
JP (1) JP2010511915A (ko)
KR (1) KR20090095604A (ko)
TW (1) TW200845203A (ko)
WO (1) WO2008070060A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170117464A (ko) * 2015-02-21 2017-10-23 도쿄엘렉트론가부시키가이샤 오정렬 에러 보호를 포함하는 패터닝 방법
WO2020138710A1 (ko) * 2018-12-28 2020-07-02 영창케미칼 주식회사 극자외선 리소그래피용 공정액 및 이를 사용한 패턴 형성 방법

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7355384B2 (en) * 2004-04-08 2008-04-08 International Business Machines Corporation Apparatus, method, and computer program product for monitoring and controlling a microcomputer using a single existing pin
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP4871786B2 (ja) * 2007-05-11 2012-02-08 東京応化工業株式会社 パターン形成方法
NL1035771A1 (nl) * 2007-08-20 2009-02-23 Asml Netherlands Bv Lithographic Method and Method for Testing a Lithographic Apparatus.
US8043794B2 (en) * 2008-02-01 2011-10-25 Qimonda Ag Method of double patterning, method of processing a plurality of semiconductor wafers and semiconductor device
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2010039035A (ja) * 2008-08-01 2010-02-18 Fujifilm Corp レジストパターン形成用表面処理剤、レジスト組成物、それらを用いたレジストパターンの表面処理方法及びレジストパターンの形成方法
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
JP2010078981A (ja) * 2008-09-26 2010-04-08 Nissan Chem Ind Ltd リソグラフィープロセスに適用されるリンス液及び当該リンス液を用いたレジストパターンの形成方法
NL2003421A (en) * 2008-10-21 2010-04-22 Asml Netherlands Bv Lithographic apparatus and a method of removing contamination.
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US20100159392A1 (en) * 2008-12-22 2010-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
JP5071688B2 (ja) * 2009-02-18 2012-11-14 信越化学工業株式会社 パターン形成方法及びレジスト変性用組成物
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8304179B2 (en) * 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20100297851A1 (en) * 2009-05-19 2010-11-25 Rohm And Haas Electronic Materials Llc Compositions and methods for multiple exposure photolithography
TWI403520B (zh) 2009-05-25 2013-08-01 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
JP5545029B2 (ja) * 2009-05-25 2014-07-09 信越化学工業株式会社 レジスト変性用組成物及びパターン形成方法
JP5573356B2 (ja) 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP2010287856A (ja) * 2009-06-15 2010-12-24 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置の製造装置
CN101963756B (zh) * 2009-06-26 2014-12-17 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
EP2457125A2 (en) * 2009-07-23 2012-05-30 Dow Corning Corporation Method and materials for reverse patterning
CN102439523B (zh) * 2009-07-23 2015-01-07 道康宁公司 用于双重图案化的方法和材料
JP5516195B2 (ja) 2009-08-04 2014-06-11 信越化学工業株式会社 パターン形成方法及びレジスト材料
JP5516200B2 (ja) 2009-08-05 2014-06-11 信越化学工業株式会社 パターン形成方法、化学増幅ポジ型レジスト材料、及び、レジスト変性用組成物
JP2011107690A (ja) * 2009-10-21 2011-06-02 Sumitomo Chemical Co Ltd レジストパターンの製造方法
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
GB0920231D0 (en) 2009-11-18 2010-01-06 Univ Birmingham Photoresist composition
EP2336824A1 (en) * 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
JP5372895B2 (ja) * 2010-02-12 2013-12-18 東京エレクトロン株式会社 基板処理方法
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8382997B2 (en) 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5705607B2 (ja) * 2011-03-23 2015-04-22 メルクパフォーマンスマテリアルズIp合同会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8628682B2 (en) * 2011-10-24 2014-01-14 E I Du Pont De Nemours And Company Compositions comprising a fluorosurfactant and a hydrotrope
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
JP5902573B2 (ja) * 2012-07-18 2016-04-13 株式会社東芝 パターン形成方法
JP6071316B2 (ja) * 2012-08-08 2017-02-01 東京応化工業株式会社 組成物及びパターン形成方法
WO2014029603A1 (en) * 2012-08-20 2014-02-27 Asml Netherlands B.V. Method of preparing a pattern, method of forming a mask set, device manufacturing method and computer program
CN102938392A (zh) * 2012-11-02 2013-02-20 上海华力微电子有限公司 一种铜互联线的制作工艺
CN102902153A (zh) * 2012-11-12 2013-01-30 上海华力微电子有限公司 相移光掩模制作方法
CN102931135A (zh) * 2012-11-12 2013-02-13 上海华力微电子有限公司 通孔优先铜互连制作方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN103165533A (zh) * 2013-03-15 2013-06-19 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103199016A (zh) * 2013-03-15 2013-07-10 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103197513A (zh) * 2013-03-15 2013-07-10 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103258733A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103258795A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103258794A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103280403B (zh) * 2013-05-14 2015-04-08 上海华力微电子有限公司 双栅氧器件的制造方法
CN103268866B (zh) * 2013-05-23 2016-05-11 上海华力微电子有限公司 降低冗余金属耦合电容的通孔优先双大马士革铜互连方法
CN103268865A (zh) * 2013-05-23 2013-08-28 上海华力微电子有限公司 降低冗余金属耦合电容的沟槽优先双大马士革铜互连方法
CN103309151B (zh) * 2013-05-23 2015-06-24 上海华力微电子有限公司 光刻胶的处理方法以及半导体器件的制备方法
CN103268864B (zh) * 2013-05-23 2016-05-11 上海华力微电子有限公司 降低冗余金属耦合电容的通孔优先双大马士革铜互连方法
CN103293848B (zh) * 2013-05-23 2015-12-23 上海华力微电子有限公司 光刻胶的处理方法以及半导体器件的制备方法
KR102142648B1 (ko) * 2013-12-16 2020-08-10 삼성디스플레이 주식회사 감광성 수지 조성물, 이를 이용한 유기막 형성방법 및 유기막을 포함하는 표시장치
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
CN105655249A (zh) * 2016-03-21 2016-06-08 京东方科技集团股份有限公司 一种刻蚀方法
CN115516603A (zh) * 2020-03-10 2022-12-23 富士胶片电子材料美国有限公司 金属沉积方法
CN111474833A (zh) * 2020-05-29 2020-07-31 常州时创新材料有限公司 光刻润湿液及其应用

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4440230C2 (de) * 1993-11-10 1999-03-18 Hyundai Electronics Ind Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
JPH07307444A (ja) * 1994-05-16 1995-11-21 Mitsubishi Materials Corp 不揮発性強誘電体薄膜メモリのパターン形成方法
US5652084A (en) * 1994-12-22 1997-07-29 Cypress Semiconductor Corporation Method for reduced pitch lithography
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US6656666B2 (en) * 2000-12-22 2003-12-02 International Business Machines Corporation Topcoat process to prevent image collapse
US20020127747A1 (en) * 2001-03-08 2002-09-12 Motorola, Inc. Lithography method and apparatus with simplified reticles
DE10129577A1 (de) * 2001-06-20 2003-01-16 Infineon Technologies Ag Silylierverfahren für Fotoresists im UV-Bereich
DE10131489B4 (de) * 2001-06-29 2007-04-12 Infineon Technologies Ag Negativ Resistprozess mit simultaner Entwicklung und chemischer Nachverstärkung von Resiststrukturen
US6635409B1 (en) * 2001-07-12 2003-10-21 Advanced Micro Devices, Inc. Method of strengthening photoresist to prevent pattern collapse
JP3666807B2 (ja) * 2001-12-03 2005-06-29 東京応化工業株式会社 ホトレジストパターンの形成方法およびホトレジスト積層体
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
TW200521622A (en) * 2003-08-21 2005-07-01 Arch Spec Chem Inc Novel photosensitive bilayer composition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170117464A (ko) * 2015-02-21 2017-10-23 도쿄엘렉트론가부시키가이샤 오정렬 에러 보호를 포함하는 패터닝 방법
WO2020138710A1 (ko) * 2018-12-28 2020-07-02 영창케미칼 주식회사 극자외선 리소그래피용 공정액 및 이를 사용한 패턴 형성 방법
US11487208B2 (en) 2018-12-28 2022-11-01 Young Chang Chemical Co., Ltd Process liquid for extreme ultraviolet lithography and pattern forming method using same

Also Published As

Publication number Publication date
EP2089774A2 (en) 2009-08-19
US20080199814A1 (en) 2008-08-21
TW200845203A (en) 2008-11-16
WO2008070060A2 (en) 2008-06-12
WO2008070060A3 (en) 2009-04-16
JP2010511915A (ja) 2010-04-15

Similar Documents

Publication Publication Date Title
KR20090095604A (ko) 이중 패터닝 공정을 이용한 장치 제조 방법
US7776506B2 (en) Coating compositions for photoresists
KR102152674B1 (ko) 저온 적용을 위한 이온성 열산 발생제
US7595141B2 (en) Composition for coating over a photoresist pattern
US11029602B2 (en) Photoresist composition and method of forming photoresist pattern
KR20140005120A (ko) 오버코팅된 포토레지스트와 함께 이용하기 위한 코팅조성물
US7011935B2 (en) Method for the removal of an imaging layer from a semiconductor substrate stack
JP4221610B2 (ja) アクリル系ポリマーを含有するリソグラフィー用ギャップフィル材形成組成物
KR20080028335A (ko) 포토리소그래피용 코팅 조성물
EP2387735B1 (en) Nonpolymeric binders for semiconductor substrate coatings
US7390611B2 (en) Photoresist coating composition and method for forming fine pattern using the same

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid