TWI462171B - 基板處理設備、基板處理方法及製造半導體裝置的方法 - Google Patents
基板處理設備、基板處理方法及製造半導體裝置的方法 Download PDFInfo
- Publication number
- TWI462171B TWI462171B TW097105884A TW97105884A TWI462171B TW I462171 B TWI462171 B TW I462171B TW 097105884 A TW097105884 A TW 097105884A TW 97105884 A TW97105884 A TW 97105884A TW I462171 B TWI462171 B TW I462171B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- gas
- organic solvent
- conduit
- temperature
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims description 136
- 238000012545 processing Methods 0.000 title claims description 69
- 239000004065 semiconductor Substances 0.000 title claims description 31
- 238000004519 manufacturing process Methods 0.000 title claims description 9
- 238000003672 processing method Methods 0.000 title claims description 9
- 239000007789 gas Substances 0.000 claims description 106
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 71
- 239000007788 liquid Substances 0.000 claims description 35
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 33
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 31
- 238000001035 drying Methods 0.000 claims description 28
- 239000003960 organic solvent Substances 0.000 claims description 28
- 229920002120 photoresistant polymer Polymers 0.000 claims description 26
- 238000010438 heat treatment Methods 0.000 claims description 24
- 238000004140 cleaning Methods 0.000 claims description 23
- 238000007872 degassing Methods 0.000 claims description 20
- 239000008367 deionised water Substances 0.000 claims description 19
- 229910021641 deionized water Inorganic materials 0.000 claims description 19
- 229910052757 nitrogen Inorganic materials 0.000 claims description 19
- 239000012535 impurity Substances 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 7
- 238000002791 soaking Methods 0.000 claims description 4
- 239000002904 solvent Substances 0.000 claims description 2
- 239000011347 resin Substances 0.000 claims 3
- 229920005989 resin Polymers 0.000 claims 3
- 238000001704 evaporation Methods 0.000 claims 1
- 230000008020 evaporation Effects 0.000 claims 1
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 170
- 238000000034 method Methods 0.000 description 28
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 24
- 230000008569 process Effects 0.000 description 24
- 239000011368 organic material Substances 0.000 description 21
- 230000007547 defect Effects 0.000 description 18
- 238000010586 diagram Methods 0.000 description 14
- 229910052732 germanium Inorganic materials 0.000 description 14
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 12
- 229910052707 ruthenium Inorganic materials 0.000 description 12
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 7
- 239000000243 solution Substances 0.000 description 7
- 238000002955 isolation Methods 0.000 description 6
- 239000003870 refractory metal Substances 0.000 description 6
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- QOSATHPSBFQAML-UHFFFAOYSA-N hydrogen peroxide;hydrate Chemical compound O.OO QOSATHPSBFQAML-UHFFFAOYSA-N 0.000 description 3
- 238000007689 inspection Methods 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 239000011259 mixed solution Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 230000002265 prevention Effects 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 239000011324 bead Substances 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000037361 pathway Effects 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 230000005856 abnormality Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- SWXQKHHHCFXQJF-UHFFFAOYSA-N azane;hydrogen peroxide Chemical compound [NH4+].[O-]O SWXQKHHHCFXQJF-UHFFFAOYSA-N 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000002290 gas chromatography-mass spectrometry Methods 0.000 description 1
- CABDFQZZWFMZOD-UHFFFAOYSA-N hydrogen peroxide;hydrochloride Chemical compound Cl.OO CABDFQZZWFMZOD-UHFFFAOYSA-N 0.000 description 1
- XEMZLVDIUVCKGL-UHFFFAOYSA-N hydrogen peroxide;sulfuric acid Chemical compound OO.OS(O)(=O)=O XEMZLVDIUVCKGL-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- -1 polytetrafluoroethylene Polymers 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 230000032258 transport Effects 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/67034—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66575—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7833—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Drying Of Solid Materials (AREA)
Description
本發明係有關一種基板處理設備、一種基板處理方法,以及一種製造半導體裝置的方法。
於一種半導體裝置的製造方法中,例如:一種大型積體電路(LSI),為了移除於一半導體基板上的有機材料和類似物而執行各種各樣的清潔製程。該等清潔製程典型地包括浸泡一半導體基板至一化學溶液內,以去離子水清洗半導體基板,以及接而乾燥該半導體基板的步驟。
於乾燥步驟中,一乾燥速率係被予以加速的藉由將該半導體基板取出至一醇的氛圍中以及結果以醇代替於該基板的表面上之濕氣。
以上提及的乾燥步驟係於,舉例而言,日本專利申請案早期公開公告號Hei 11-354485中詳盡地說明。
依據日本早期公開專利公告號11-354485,於一基板的一表面上水珠凝結的出現係藉由加熱,於乾燥步驟中,該基板至比水的露點和醇的露點之較高的一者之更高的溫度予以預防,藉此預防可歸因於水珠凝結物的微粒之附著至基板。
此外,有關本實施例的技術也揭露於日本早期公開專利公告號64-69015、日本早期公開專利公告號2005-166958
和日本早期公開專利公告號2003-273059中。
於本文中討論的實施例之一個態樣是要備置一種基板處理設備,其包括一收納一基板之處理室,一供應氣體至該處理室之內的導管,和一備置於該導管的中央內且加熱該氣體之加熱單元,其中該加熱單元加熱該氣體至低於自該導管內部產生除氣之一溫度的溫度,以及該基板係於該加熱的氣體內予以乾燥。
第1圖是顯示一實施例中使用的一基板清潔系統的構形圖;第2圖是顯示一種如本實施例的基板處理設備的構形圖;第3圖係顯示如本實施例的基板處理設備之氣體供應機械裝置的一結構圖;第4A至4H圖係用於解釋一種如本實施例的基板處理方法的結構圖;第5A和5B圖係藉由使用一缺陷檢查設備來研究光阻劑的缺陷而獲得的晶圓圖;第6圖係經由研究介於氮氣的溫度和有機材料含量之間的關係而獲得的一圖;以及第7A至7J圖是依據實施例之製造半導體裝置的過程中之橫截面圖。
第1圖是顯示本實施例中使用的一基板清潔系統100的構形圖。
此系統100是一個能夠同時地加工多個基板W的批次系統,以及包括儲存去離子水或化學溶液之第一至第四顯像槽101至104,和一種用於乾燥該等基板W的基板處理設備105。該等基板W的類型不特別限制,以及要加工用於半導體裝置之矽(半導體)基板,或是用作為液晶顯示器裝置和類似物之石英基板作為基板W是可能的。
並且,要被儲存於各別的顯像槽101至104內的液體不特別地限制。於此實施例中,舉例而言,去離子水係被儲存於第二至第四顯像槽102和104內。其間,SPM係被儲存於該第一顯像槽101內,以及APM係被儲存於該第三顯像槽103內。在這裡,SPM係意指硫酸、過氧化氫水,和去離子水的一混合溶液(硫酸過氧化氫混合物),以及APM係意指氨、過氧化氫水,和去離子水的一混合溶液(氨過氧化氫混合物)。
注意到也可能使用HPM或緩衝氫氟酸,取代APM或SPM。HPM係意指氫氯酸、過氧化氫水,和去離子水的一混合溶液(氫氯酸-過氧化氫混合物)。
多個基板W係由一舉升器(一基板固持器)4予以夾持。
該舉升器4係藉由使用一未圖解的馬達以第1圖中之箭頭的方向移動,以及以任意的順序運送該等基板W至各別的顯
像槽101至104。然後,該等矽基板W係藉由該舉升器4而收納於該處理設備105中為了乾燥該等基板W。
第2圖是顯示該基板處理設備105的構形圖。
此基板處理設備105具有乾燥該等基板W的功能,以及包括一處理室2和一液體槽3。該液體槽3能儲存水、化學溶液,和類似物。一用於供應此等液體之導管9、一用於排出該等液體的導管8,以及一用於送回該等液體的導管7係如第2圖中闡釋的予以連接至該液體槽3。
並且,於該液體槽3的上端之上形成一溝槽3a,藉此溢出該液體槽3的液體係藉由使用該溝槽3a和一導管10予以收集。
而且,於該處理室2之上提供一水平可移動的遮板11。當此遮板11關閉時,該處理室2係被密閉地密封。
其間,該處理室2之內的密閉地密封的狀態係藉著於該處理室2內的加工之前和之後開啟該遮板11而中斷,藉此以上說明的舉升器4能進入且離開該處理室2。該舉升器4能夠垂直地於該處理室2內移動。隨著該舉升器4的此移動,能將該等基板W放入至該液體槽3內以及拉出該液體槽3之外。
該液體槽3上方的空間係用於在一蒸發的有機溶劑之氛圍內,例如:IPA(異丙醇)氣體,或是在一惰性氣體,例如:一氮氣,內予以乾燥該等基板W。為了供應此等氣體,於該處理室2的一上部件之上提供一氣體供應孔5。並且,為了藉由減低該處理室2內的壓力而加速乾燥速率,在該處
理室2的下方部件處提供一個連接至一未圖示的真空泵之排氣孔6。
第3圖係顯示此基板處理設備105之一氣體供應機械裝置的一結構圖。
如第3圖中顯示的,該基板處理設備105包括第一至第四氣體導管15至18。在其等之中,一氮供應源21,例如:一氮氣瓶係連接至該第一導管15的起始端,藉此自此氮供應源21供應的氮氣係經由該第一導管15和該第四導管18而供應至該處理室2。
其間,該第二氣體導管16也係連接至該氮供應源21,以及一IPA容器22係備置於此第二氣體導管16的末端之上。
IPA係儲存於該IPA容器22內。IPA係藉由使用備置於該容器22之下的IPA加熱器20予以加熱IPA而蒸發。蒸發的IPA通經該第三氣體導管17和該第四氣體導管18,以及接而與已通經該第二氣體導管16的氮氣一起供應至該處理室2。
在這裡,於IPA氣體和氮氣的混合氣體內之IPA氣體的濃度能藉由該IPA加熱器20的溫度予以控制。舉例而言,更多的IPA係藉由提高的該IPA加熱器20的溫度予以蒸發以及IPA的濃度增加。相反地,濃度係藉由降低該IPA加熱器20的溫度予以減少。
介於氮氣和IPA氣體之間的轉換係藉由第一和第二閥26和27的使用予以執行。當只供應該氮氣至該處理室2時,該第一閥26是關閉的且該第二閥27是打開的,以經由顯示
於第3圖中的一通路A供應氮氣。相反地,當供應IPA氣體時,該第一閥26是打開的且該第二閥27是關閉的,以經由一通路B供應IPA氣體。
並且,第一與第二加熱器(加熱單元)23和24各別地被備置於該第三氣體導管17和該第四氣體導管18的中央。
就只供應氮氣至該處理室2而言,通經該通路A的氮氣係藉由該第二加熱器24予以加熱。相反地,就供應IPA氣體至該處理室2而言,通經該通路B的IPA氣體係藉由該第一與第二加熱器23和24二者予以加熱。
在這裡,使用一可撓性材料作為各別的氣體導管15至18之材料是較佳的,藉此各別的氣體導管15至18能容易地配置於系統內的小空間之內。於此實施例中,使用具有優良的化學抗性之氟樹脂,例如:PTFE(聚四氟乙烯(polytetrafluoroethylene))或是PFA(全氟烷氧基(perfluoroalcoxy))作為此一材料。
接著,一種利用該基板處理設備105之基板處理方法將說明如下。
第4A至4H圖係用於解釋此基板處理方法的結構圖。
此實施例對於一種使用矽基板作為基板W之半導體裝置的製造方法中之一濕式製程是有效的,其中濕式製程係在一阻抗圖案藉由灰化予以移除之後予以進行以移除阻抗殘餘物。
於此濕式製程中,該等基板W首先於顯示於第1圖中的該基板清潔系統100之第一至第四顯像槽101至104中清潔。
隨後,該等基板W係依照以下程序予以乾燥。
首先,如第4A圖中顯示的,該遮板11被打開用於放置該等基板W至該處理室2中,以及接而該遮板11被關閉以密閉地密封該處理室2。
隨後,如第4B圖中顯示的,自該導管9(參見第2圖)供應DIW(去離子水)至該液體槽3以便以去離子水充滿該液體槽3。然後,該等基板W係藉由降下該舉升器4而浸泡於去離子水內,以及藉此,在製程的期間內、於該第一至第四顯像槽101至104中附著至該等基板W的表面的液體係以去離子水予以移除。此製程也被稱為一沖洗製程。
接著,如第4C圖中顯示的,自該氣體供應孔5供應IPA氣體至該處理室2以用IPA的氛圍充滿該處理室2。
在這裡,IPA氣體係藉由如第3圖中說明的該第一與第二加熱器23和24予以加熱。加熱溫度在自該氣體供應孔5供應之後立刻在約90℃,舉例而言。
隨後,如第4D圖中顯示的,該等矽基板W藉由該舉升器4的使用而自該液體槽3中拉出。以此方式,該等矽基板W係暴露至IPA氣體的氛圍,以及結果,附著至該等基板W的表面之水滴係以IPA予以代替。因IPA的蒸汽壓力係更高於去離子水的蒸汽壓力,所以該等基板W的表面能更快地乾燥。
此外,因IPA氣體係藉由如之前說明的該第一與第二加熱器23和24予以加熱,該等基板W也被加熱且因而更快地乾燥,以及於該等基板W的表面上的水滴更容易地以IPA予
以代替。
隨後,如第4E圖中顯示的,停止IPA氣體供應,以及接而該液體槽3中的去離子水係自該導管8(參見第2圖)予以排出至外部,藉此該液體槽3變成空的。
接著,如第4F圖中顯示的,氮氣係自該氣體供應孔5供應至該處理室2以便用氮代替該處理室2內的IPA的氛圍。
在這裡,氮氣係藉由如第3圖中說明的該第二加熱器24予以加熱。氮的溫度在自該氣體供應孔5供應之後立刻約90℃,舉例而言。
該等基板W的加熱狀態係藉由以此方式暴露該等基板W至經加熱的氮氣來維持。
接著,如第4G圖中顯示的,停止氮氣供應,以及接而氮氣自該出口6(參見第2圖)予以排出以減低該處理室2內的壓力。藉由以此方式減低壓力,凝結於該等基板W的表面上的IPA係予以蒸發,藉此有效地乾燥該等基板W的表面。
最後,如第4H圖中顯示的,該處理室2藉由開啟該遮板11而設定對空氣是敞開的,以及接而,自該處理室2取出該等基板W。
以此方式,完成該基板方法的主要步驟。
雖然該液體槽3內收集去離子水,也可能使用其他的化學溶液,例如:APM、SPM、HPM、一緩衝氫氟酸溶液,和類似物,取代去離子水。
當該等矽基板W係於該基板處理設備105中予以乾燥時,於導入IPA氣體的步驟(第4C圖)以及導入氮氣的步驟(第
4F圖)中的加熱氣體對於增加乾燥效力以及預防於該等矽基板W上的水珠凝結物是有效的。
預期能預防水珠凝結物的氣體溫度是等於或高於125℃。
然而,注意到,IPA之此一水珠凝結物的預防係假定IPA的露點等於或高於室溫(20℃)。為此,設若當在IPA氣體的低濃度的情況下,IPA的露點係低於室溫時,即使當IPA氣體未被加熱,IPA也不會凝結於該等基板W之上。
確切地,本申請案的發明人進行的研究結果顯示出當氣體溫度被設定成是高的時,半導體裝置的可靠性係降低的,以及其之產物產量是下降。細節係如下。
第5A和5B圖是晶圓圖係經由以下步驟而獲得的:令一個300-mm矽晶圓(基板W)接受如第4A至4H圖中說明的步驟,接而塗覆光阻劑於矽晶圓上,以及接而藉由一缺陷檢查設備的使用而研究光阻劑缺陷。
此等晶圓圖中,第5A圖是於第4F圖中顯示的步驟內加熱氮氣至120℃之事例中獲得的晶圓圖。同時,第5B圖是於此步驟內加熱氮氣至90℃的事例中獲得的晶圓圖。在這裡,氮氣的溫度係經由用一溫度計而在自該氣體供應孔5予以供應之後立刻測量氮氣獲得的。在此說明書的下文內之氣體溫度係以此方式予以定義為在自該氣體供應孔5供應之後立刻的氣體溫度。
如第5A圖中顯示的,當氮氣的溫度設定成120℃時,缺陷係於該晶圓W上的廣大範圍上產生。此等缺陷被認定
是形成於一光阻膜內的小孔(微氣泡)。
於光阻劑內的此等缺陷之形成會導致於一區域之內不必要的離子佈植之一個不必要的問題,於矽晶圓上,未被預期離子佈植,設若光阻劑被使用作為此離子佈植之一遮罩。其間,於光阻劑內的此等缺陷之形成會導致一蝕刻薄膜的形狀從設計的形狀之偏差的問題,設若該光阻劑被使用作為用於之一遮罩蝕刻。
相比之下,如第5B圖中顯示的,該處氮氣的溫度設定成90℃,於光阻劑內產生的缺陷係顯著地被降低。
由此等研究的結果,當氮氣的溫度設定成不必要的高溫時,舉例而言,等於或高於120℃,明顯地光阻劑的缺陷比矽晶圓上的水珠凝結物的預防的作用是更突顯的,藉此該半導體裝置的產量係降低的。
為了研究如上說明的光阻劑內之缺陷產生的原因,本申請案的發明人於一個300-mm矽晶圓上進行顯示於第4A至4H圖中的步驟,以及接而藉由使用一氣體層析質譜儀(GC-MS)來測量由於一有機材料而自矽晶圓產生的除氣。
注意到當進行此測量時於矽晶圓上沒有塗覆光阻劑。並且,於此測量中,為了研究的有機材料的溫度依賴性,氮氣的溫度係於顯示於第4F圖中的步驟內予以各種各樣地改變。
第6圖顯示此測量的結果。
於第6圖中的水平軸指示氮氣的溫度,而那裡的垂直軸指示常態化的有機材料含量。
如第6圖中顯示的,當氮氣的溫度範圍落在自40℃至100℃時,有機材料含量是相當小的。
相反地,當氮氣的溫度達到120℃時,有機材料含量突然地增加。
經由組合此結果以及以上於第5A和5B圖中說明的結果,黏附至該矽晶圓的表面之有機材料係歸因於在設定氮氣的溫度至120℃的情況下造成光阻劑內許多的缺陷的產生。此係因為當有機材料黏附至矽晶圓的表面時,介於矽晶圓和光阻劑之間的附著係被降解的,藉此於該光阻劑內產生以上提及的微氣泡。
實驗係藉由取代該處理室2內之各種各樣的部件予以重複俾以具體指明有機材料的產生來源的。然而,沒有觀察到缺陷的改善。於是,實驗顯示有機材料的產生來源係存在於該處理室2的外部,亦即於該第四氣體導管18內。
如之前說明的,該第四氣體導管18係由氟樹脂製造的。因此,可相信有機的除氣(亦即,除氣有機材料)係伴隨氮氣溫度的增加而自該第四氣體導管18的一內壁產生,以及藉此,黏附至矽晶圓的有機材料是增加的。
如上說明的,由藉由降低在製程的期間內黏附至矽晶圓的有機材料的含量以改善半導體裝置的可靠性的觀點來看,於乾燥矽晶圓的步驟中,有必要加熱氮氣至低於自該第四氣體導管18產生除氣的一溫度之溫度。此一溫度依據第6圖係等於或低於100℃。
並且,藉由設定氮氣的溫度等於或低於100℃,其係等
於或低於水的沸點,於該液體槽3內剩餘的濕氣以及於該處理室2內的類似物不會在乾燥矽晶圓的過程中被蒸發。於是,要預防於該處理室2內的剩餘的濕氣不被蒸發以及凝結於該矽晶圓W上是可能的。因此,由於在乾燥之後沒有與凝結的濕氣關聯的微粒留存於該矽晶圓W之上,要進一步改善半導體裝置的可靠性是可能的。
在這裡,以上說明的研究的結果係關於氮氣。然而,在顯示於第4C圖中的步驟之導入IPA氣體的情況下,也觀察到該等參照第5A和5B圖以及第6圖所說明的相似的傾向。因此,加熱IPA氣體至低於該溫度,亦即等於或低於100℃,的之一溫度也是必要的,除氣係自加熱的IPA氣體通經之該第三和第四氣體導管17和18所產生的,在導入該處理室2後。
即便當以此方式設定IPA氣體在低的溫度時,只要IPA氣體的溫度維持在等於或高於室溫,可以預防晶圓的表面上的IPA之水珠凝結物,於IPA氣體的濃度是低的條件之下以及因此IPA的露點係低於室溫。
在需要高乾燥效率的情況時,舉例而言,於一晶圓的表面上有大面積的不平坦的情況時,以致於水滴易於留在基板上,通常增加IPA氣體的濃度以使得更容易用IPA取代晶圓上剩餘的濕氣。
然而,於此實施例中,IPA氣體的濃度係被調整至此一濃度使得IPA的露點如之前說明的低於室溫,俾以優先預防水珠凝結物勝過乾燥效率。此調整能藉由控制以上說明的
IPA加熱器20之設定的溫度而做到。
接著,一種基於以上研究的結果之製造半導體裝置的方法將予以說明。
第7A至7J圖是依據本實施例之製造半導體裝置的過程中之橫截面圖。
首先,如第7A圖中顯示的,用於界定電晶體的主動區之淺溝槽隔離(shallow trench isolation)(STI)溝係被形成於一種n-型或是一種p-型的矽基板30的一表面之上,以及一絕緣薄膜,例如:氧化矽,係被埋藏在那裡以形成元件隔離絕緣薄膜31。在這裡,元件隔離結構並不只限於STI,以及依照矽(LOCOS)的局部氧化方法來形成該等元件隔離絕緣薄膜31也是可能的。
而且,作為一犧牲性絕緣薄膜32之具有大約10 nm的厚度的一熱氧化薄膜係藉由令該矽基板30的表面接受熱氧化予以形成。
隨後,一第一阻抗圖案33係如第7B圖中顯示的於該犧牲性絕緣薄膜32之上形成。
然後,一p型雜質係經由該第一阻抗圖案33的一窗33a予以離子佈植至該矽基板30,而該犧牲性絕緣薄膜32係使用作為一貫穿薄膜,以便於形成一個p井34。
之後,該第一阻抗圖案33係如第7C圖中顯示的予以移除。此製程係如下進行。
首先,改變該第一阻抗圖案33的一主要部件成為灰燼以及藉由灰化予以移除,其中該矽基板30係於氮和氧的一
混合氛圍內予以加熱。
隨後,該矽基板係如第1圖中說明的在該基板清潔系統100內接受該濕式製程,為了移除即使在灰化製程之後剩餘的該第一阻抗圖案33。
此濕式製程概括地分類成於第一至第四顯像槽101至104內執行的清潔步驟以及於該基板處理設備105內執行的乾燥步驟(一第一乾燥步驟)。
於此等的步驟中,清潔步驟係藉由相繼地浸泡該矽基板30於各別的液體槽101至104內的而包括於該第一液體槽101內以SPM予以清潔,於該第二液體槽102內以去離子水沖洗,於該第三液體槽103內以APM予以清潔,以及於該第四液體槽104內以去離子水沖洗。並且,參照第4A和4B圖說明的以去離子水之清潔也包括於此清潔步驟內。
然後,於乾燥步驟中,該矽基板30的面上之剩餘的濕氣係依照以上說明的顯示於第4C至4H圖中之步驟予以乾燥。
在這裡,於第4C圖中的導入異丙醇氣體之步驟以及於第4F圖中的導入氮氣之步驟中,氣體的加熱溫度被設定成低於該第三和第四氣體導管17和18(參見第3圖)會產生除氣的此一溫度之溫度,亦即等於或低於100℃的溫度。以此方式,要抑制自氟樹脂製造的該等氣體導管17和18所發出的含有有機材料之除氣的產生,以及要預防有機材料在乾燥之後附著至該犧牲性絕緣薄膜32是可能的。
接著,如第7D圖中顯示的,光阻劑36係予以塗覆至
該犧牲性絕緣薄膜32之上,以及接而矽基板接受一熱處理以蒸發光阻劑36內的一溶劑組份。此一熱處理亦被稱為烘烤。
如之前說明的,留在該犧牲性絕緣薄膜32之上的有機材料係在顯示於第7C圖中的清潔製程之後被減少。於是,此光阻劑36具有對該犧牲性絕緣薄膜32的良好的附著。因此,例如微氣泡的缺陷幾乎不於光阻劑內產生。
隨後,如第7E圖中顯示的,一包括一窗36a的第二阻抗圖案36b係藉由顯影該光阻劑36予以形成。因該光阻劑36係如上說明的包括非常少的缺陷,要形成純淨的第二阻抗圖案36b而沒有形狀異常或是局部的稀疏的部件是可能的。
然後,除了該p井34之外,一個n井38係藉由經由該窗36a而佈植一n型雜質的離子至該矽基板30之中予以形成於該矽基板30之內,而該犧牲性絕緣薄膜32係使用作為一貫穿薄膜。因該第二阻抗圖案36b係如上說明的是純淨的,要形成該n井38具有如設計的平面的形狀,以及要預防n型雜質的佈植至該矽基板30的該n井38的外部之一區域之內是可能的。
接著,該第二阻抗圖案36b係係藉由執行於第7C圖中闡釋的步驟之相同的步驟而如第7F圖中顯示的予以移除。
隨後,如第7G圖中顯示的,經由各別的離子佈植製程所損害的該犧牲性絕緣薄膜32係藉由使用一氫氟酸溶液之濕式蝕刻予以移除,以便暴露該矽基板30之一清潔的表面。
之後,該矽基板30之清潔的表面係藉由顯示於第1圖中的該清潔系統100之使用而接受濕式製程。此濕式製程係實質地與參照第7C圖說明的清潔步驟和乾燥步驟之組合相同。於清潔步驟中,也可能加入浸泡該矽基板30至一緩衝氫氟酸溶液內的一步驟,俾以移除於該矽基板30的表面上之天然的氧化物薄膜。
並且,於乾燥步驟中(一第二乾燥步驟),設定氣體的加熱溫度在等於或低於100℃,其係為低於該第三和第四氣體導管17和18(參見第3圖)會產生除氣的一溫度之溫度,以便抑制含有來自此等氣體導管17和18之有機材料的除氣的產生,以及預防有機材料的附著至該矽基板30的表面。
隨後,如第7H圖中顯示的,一熱氧化薄膜係藉由令該矽基板30的表面接受熱氧化而形成為具有大約10 nm的厚度之作為一閘極絕緣薄膜40。
在這裡,因為於第7G圖中的清潔步驟中沒有有機物黏附至該矽基板30,要避免小孔和類似物於該閘極絕緣薄膜40的發展的時間中於其之內產生是可能的,以及藉此預防該閘極絕緣薄膜40的耐壓(withstand voltage)之下降。
接著,顯示於第7I圖中之用於獲得一橫截面結構的製程將予以說明。
首先,一多晶矽薄膜係藉由CVD方法而被形成於該閘極絕緣薄膜40之上,以及此多晶矽薄膜係予以圖案化成閘極電極41a和41b。
然後,一n型雜質的離子係佈植至在該閘極電極41a旁
邊的該p井34之中,而此等閘極電極41被使用作為之一遮罩以形成一個n-型源極/汲極延伸區42a。同樣地,一p型雜質的離子係佈植至在該閘極電極41b旁邊的該n井38之中以形成一個p-型源極/汲極延伸區42b。
注意到n型雜質的離子和p型雜質的離子係分別地藉由使用未圖解的阻抗圖案予以佈植。
隨後,如第7J圖中顯示的,例如:一氧化矽薄膜之一絕緣薄膜係形成於該矽基板30的整個上表面之上,以及絕緣側壁44係藉由蝕刻退回絕緣薄膜而留在該等閘極電極41a和41b的旁邊。
然後,n-型源極-汲極區46a以及p-型源極-汲極區46b係用離子佈植而予以形成於在此等閘極電極41a和41b旁邊的該矽基板30之中,而該等各別的閘極電極41a和41b被使用作為之一遮罩。
接著,例如一鈷層之一耐火金屬層係藉由濺鍍法予以形成於該矽基板30的整個上表面之上。然後,加熱此耐火金屬層以造成與矽的一反應,藉此形成一耐火金屬矽化物層47於該矽基板30上。該耐火金屬矽化物層47也被形成於該等閘極電極41a和41b的頂層部件之上,藉此降低該等閘極電極41a和41b的抗性。
之後,於該等元件隔離絕緣薄膜31上的未反應的耐火金屬層和類似物係藉由濕式蝕刻予以移除。
以此方式,該等具有CMOS結構的一個n型MOS電晶體TRn
以及一個p型MOS電晶體TRp
之基礎結構係於該矽基板
30的主動區之上的完成。
然後,用於形成覆蓋各別的電晶體TRn
和TRp
之層間絕緣薄膜以及用於形成金屬配線之製程係予以執行。然而,此等製程之細節於本文中將會省略。
如以上說明的實施例,該矽基板30係藉由該基板處理設備105的使用而於第7C圖中的移除該第一阻抗圖案33的殘餘物的步驟中以及於第7G圖中的該矽基板30之濕式製程內予以乾燥。
於此等乾燥步驟中,氣體的加熱溫度設定在等於或低於100℃,其係較用於自該第三和第四氣體導管17和18產生除氣的溫度更低的溫度(參見第3圖)。因此,很少產生自此等氣體導管17和18的有機材料黏附至該矽基板30。以此方式,要預防該矽基板30內的有機污染,以及要抑制與有機的污染關聯的半導體裝置之缺陷是可能的,藉此以改善半導體裝置的可靠性和產量。
前述認為僅僅是作為本發明的原理之例證的。而且,因本技藝中具有技術的那些人會容易地想到許多的修飾和改變,所以不希望限制本發明至顯示且說明的確切解釋和應用,以及於是,全部適合的修飾和均等物可以視為落在附隨的申請專利範圍和其等之均等物中的本發明的範疇之內。
100‧‧‧系統
7,8,9,10‧‧‧導管
101‧‧‧第一顯像槽
3a‧‧‧溝槽
102‧‧‧第二顯像槽
11‧‧‧遮板
103‧‧‧第三顯像槽
5‧‧‧氣體供應孔
104‧‧‧第四顯像槽
6‧‧‧排氣孔
105‧‧‧基板處理設備
15‧‧‧第一氣體導管
4‧‧‧舉升器
16‧‧‧第二氣體導管
2‧‧‧處理室
17‧‧‧第三氣體導管
3‧‧‧液體槽
18‧‧‧第四氣體導管
21‧‧‧氮供應源
33a,36a‧‧‧窗
22‧‧‧IPA容器
34‧‧‧p井
20‧‧‧IPA加熱器
36‧‧‧光阻劑
26‧‧‧第一閥
36b‧‧‧第二阻抗圖案
27‧‧‧第二閥
38‧‧‧n井
23‧‧‧第一加熱器
40‧‧‧閘極絕緣薄膜
24‧‧‧第二加熱器
41,41a,41b‧‧‧閘極電極
A,B‧‧‧通路
42a‧‧‧n-型源極/汲極延伸區
W‧‧‧基板
42b‧‧‧p-型源極/汲極延伸區
30‧‧‧矽基板
44‧‧‧絕緣側壁
31‧‧‧元件隔離絕緣薄膜
47‧‧‧耐火金屬矽化物層
33‧‧‧第一阻抗圖案
TRn
‧‧‧n型MOS電晶體
32‧‧‧犧牲性絕緣薄膜
TRp
‧‧‧p型MOS電晶體
第1圖是顯示一實施例中使用的一基板清潔系統的構
形圖;第2圖是顯示一種如本實施例的基板處理設備的構形圖;第3圖係顯示如本實施例的基板處理設備之氣體供應機械裝置的一結構圖;第4A至4H圖係用於解釋一種如本實施例的基板處理方法的結構圖;第5A和5B圖係藉由使用一缺陷檢查設備來研究光阻劑的缺陷而獲得的晶圓圖;第6圖係經由研究介於氮氣的溫度和有機材料含量之間的關係而獲得的一圖;以及第7A至7J圖是依據實施例之製造半導體裝置的過程中之橫截面圖。
105‧‧‧基板處理設備
26‧‧‧第一閥
2‧‧‧處理室
27‧‧‧第二閥
3‧‧‧液體槽
23‧‧‧第一加熱器
11‧‧‧遮板
5‧‧‧氣體供應孔
24‧‧‧第二加熱器
15‧‧‧第一氣體導管
A,B‧‧‧通路
16‧‧‧第二氣體導管
17‧‧‧第三氣體導管
18‧‧‧第四氣體導管
21‧‧‧氮供應源
22‧‧‧IPA容器
20‧‧‧IPA加熱器
Claims (8)
- 一種基板處理設備,其包含:一收納一基板之處理室;一容器,其含有一有機溶劑並且產生一氣體,該氣體含有蒸發之有機溶劑且該氣體中該有機溶劑之濃度係使該有機溶劑之露點低於室溫;一導管,其係由樹脂製成,且其供應含蒸發之有機溶劑之氣體至該處理室之內;以及一加熱單元,其被備置於該導管的中央以及加熱該含蒸發之有機溶劑之氣體,其中該加熱單元加熱該含蒸發之有機溶劑之氣體至一溫度,該溫度係等於或高於室溫且低於除氣自該導管的內部產生時的溫度,該產生除氣的溫度係100℃,且經加熱之含蒸發之有機溶劑之氣體係從導管被供應至該處理室之內以將該基板曝露於處理室內之經加熱之含蒸發之有機溶劑之氣體中。
- 如申請專利範圍第1項之基板處理設備,其中於該處理室中進一步備置有一含有一液體的液體槽和一用於浸泡該基板至該液體槽內的該液體內之基板固持器。
- 如申請專利範圍第2項之基板處理設備,其中該導管供應氮氣至該處理室中,且該加熱單元加熱該氮氣,且該基板係於該液體槽內予以清潔,經加熱之含蒸發之有機溶劑之氣體係自該導管被供應至該處理室內以使該基板在清潔之後曝露於處理室內之該經加熱之含蒸發之 有機溶劑之氣體中,在將基板曝露於該經加熱之含蒸發之有機溶劑之氣體中之後,該加熱單元加熱該氮氣至一溫度,該溫度係低於除氣自該導管內部產生時的溫度,除氣產生時的溫度係為100℃,經加熱之氮氣係自該導管被供應至該處理室內以用該經加熱之氮氣取代該處理室內部之氣體氛圍。
- 如申請專利範圍第2項之基板處理設備,其中該液體係一去離子水和一化學溶液的任何一者。
- 一種基板處理方法,其包含:產生一含有一蒸發之有機溶劑之氣體且該氣體中該有機溶劑之濃度係使得該有機溶劑之露點低於室溫;將該含有蒸發之有機溶劑之氣體供應至一由樹脂製成的導管中;加熱導管中之該含有蒸發之有機溶劑之氣體至一溫度,該溫度係等於或高於室溫且低於除氣自該導管的內部產生時的溫度,該產生除氣的溫度係100℃;且將經加熱之含有蒸發之有機溶劑之氣體從導管供應至一處理室中以將一基板曝露於該處理室內之經加熱之含蒸發之有機溶劑之氣體中。
- 如申請專利範圍第5項之基板處理方法,其進一步包含:浸泡該基板至一液體內以在產生該含有蒸發之有機溶劑之氣體之前清潔該基板。
- 如申請專利範圍第6項之基板處理方法,其在將該基板曝露於該經加熱之含蒸發之有機溶劑之氣體中之後,更包含:供應氮氣至該導管;加熱導管內之該氮氣至一溫度,該溫度係低於除氣自該導管內部產生時的溫度,除氣產生時的溫度係為100℃;以及將經加熱之氮氣從導管供應至處理室中以用該經加熱之氮氣取代該處理室內部之氣體氛圍。
- 一種製造一半導體裝置的方法,其包含:清潔一半導體基板;在該清潔之後,執行該半導體基板的一第一乾燥;在執行該第一乾燥之後,形成一光阻圖案於該半導體基板上;形成一井於該半導體基板中,其係藉由使用該光阻圖案作為一遮罩而佈植一雜質離子至該半導體基板之中;移除該光阻圖案;在移除該光阻圖案之後,清潔該半導體基板;在清潔該基板之後,執行該半導體基板的一第二乾燥;以及在執行該第二乾燥之後,形成一閘極絕緣薄膜於該半導體基板之上,其中 該第一乾燥和該第二乾燥其中至少一個包括:產生一含有一蒸發之有機溶劑之氣體且該氣體中該有機溶劑之濃度係使得該有機溶劑之露點低於室溫;將該含有蒸發之有機溶劑之氣體供應至一由樹脂製成的導管中;加熱導管中之該含有蒸發之有機溶劑之氣體至一溫度,該溫度係等於或高於室溫且低於除氣自該導管的內部產生時的溫度,該產生除氣的溫度係100℃;及將經加熱之該含有蒸發之有機溶劑之氣體從導管供應至一處理室中以將該半導體基板曝露於該處理室內之經加熱之含蒸發之有機溶劑之氣體中。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2007040322A JP4805862B2 (ja) | 2007-02-21 | 2007-02-21 | 基板処理装置、基板処理方法、及び半導体装置の製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200845171A TW200845171A (en) | 2008-11-16 |
TWI462171B true TWI462171B (zh) | 2014-11-21 |
Family
ID=39707047
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW097105884A TWI462171B (zh) | 2007-02-21 | 2008-02-20 | 基板處理設備、基板處理方法及製造半導體裝置的方法 |
Country Status (4)
Country | Link |
---|---|
US (3) | US20080200018A1 (zh) |
JP (1) | JP4805862B2 (zh) |
KR (1) | KR100933247B1 (zh) |
TW (1) | TWI462171B (zh) |
Families Citing this family (378)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100823255B1 (ko) | 2005-05-13 | 2008-04-18 | 삼성전자주식회사 | 현상기구동장치 및 이를 적용한 화상형성장치 |
KR100875164B1 (ko) * | 2007-06-26 | 2008-12-22 | 주식회사 동부하이텍 | 웨이퍼의 세정 방법 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9677188B2 (en) | 2009-06-17 | 2017-06-13 | Novellus Systems, Inc. | Electrofill vacuum plating cell |
US9455139B2 (en) | 2009-06-17 | 2016-09-27 | Novellus Systems, Inc. | Methods and apparatus for wetting pretreatment for through resist metal plating |
US20100320081A1 (en) | 2009-06-17 | 2010-12-23 | Mayer Steven T | Apparatus for wetting pretreatment for enhanced damascene metal filling |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9138784B1 (en) | 2009-12-18 | 2015-09-22 | Novellus Systems, Inc. | Deionized water conditioning system and methods |
US20120102778A1 (en) * | 2010-04-22 | 2012-05-03 | Ismail Kashkoush | Method of priming and drying substrates |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP2014527148A (ja) * | 2011-08-01 | 2014-10-09 | エフエムペー テヒノロギー ゲーエムベーハー フルイート メジャーメンツ アンド プロジェクツ | 基材に形成した流動性フィルムを乾燥させる方法および装置 |
EP2749676A4 (en) * | 2011-08-25 | 2015-03-11 | Mitsubishi Materials Techno Co | VACUUM STORAGE PROCESS AND DEVICE FOR CRYSTALLINE MATERIAL |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
KR102063109B1 (ko) * | 2012-07-11 | 2020-01-08 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9613833B2 (en) | 2013-02-20 | 2017-04-04 | Novellus Systems, Inc. | Methods and apparatus for wetting pretreatment for through resist metal plating |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140256143A1 (en) * | 2013-03-10 | 2014-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for Hard Mask Loop with Defect Reduction |
CN103234328B (zh) * | 2013-03-28 | 2015-04-08 | 京东方科技集团股份有限公司 | 一种基板减压干燥方法及装置 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US9435049B2 (en) | 2013-11-20 | 2016-09-06 | Lam Research Corporation | Alkaline pretreatment for electroplating |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9196628B1 (en) | 2014-05-08 | 2015-11-24 | Macronix International Co., Ltd. | 3D stacked IC device with stepped substack interlayer connectors |
US9349620B2 (en) * | 2014-07-09 | 2016-05-24 | Asm Ip Holdings B.V. | Apparatus and method for pre-baking substrate upstream of process chamber |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9481942B2 (en) | 2015-02-03 | 2016-11-01 | Lam Research Corporation | Geometry and process optimization for ultra-high RPM plating |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9617648B2 (en) | 2015-03-04 | 2017-04-11 | Lam Research Corporation | Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias |
US9829249B2 (en) | 2015-03-10 | 2017-11-28 | Mei, Llc | Wafer dryer apparatus and method |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR101681190B1 (ko) * | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
JP2018053299A (ja) * | 2016-09-28 | 2018-04-05 | 株式会社日立国際電気 | 基板処理装置、及び断熱配管構造 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP6740098B2 (ja) * | 2016-11-17 | 2020-08-12 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
JP6876417B2 (ja) * | 2016-12-02 | 2021-05-26 | 東京エレクトロン株式会社 | 基板処理装置の洗浄方法および基板処理装置の洗浄システム |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10656525B2 (en) * | 2017-09-01 | 2020-05-19 | Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. | Photoresist baking apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US11414757B2 (en) * | 2017-11-13 | 2022-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gas tube, gas supply system and manufacturing method of semiconductor device using the same |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10801777B2 (en) * | 2018-09-30 | 2020-10-13 | HKC Corporation Limited | Baking device |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11862461B2 (en) * | 2021-12-28 | 2024-01-02 | Changxin Memory Technologies, Inc. | Method of forming oxide layer on a doped substrate using nitridation and oxidation process |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1064908A (ja) * | 1996-08-13 | 1998-03-06 | Sony Corp | 半導体装置の配線形成方法及びスパッタ装置 |
JPH10116813A (ja) * | 1996-10-09 | 1998-05-06 | Komatsu Ltd | 洗浄乾燥方法および洗浄乾燥装置 |
JPH11354485A (ja) * | 1998-06-09 | 1999-12-24 | Dainippon Screen Mfg Co Ltd | 基板処理装置及び方法 |
US6134807A (en) * | 1997-05-16 | 2000-10-24 | Tokyo Electron Limited | Drying processing method and apparatus using same |
US6158141A (en) * | 1998-05-07 | 2000-12-12 | Sony Corporation | Apparatus and method for drying semiconductor substrate |
JP2002367952A (ja) * | 2001-06-07 | 2002-12-20 | Dainippon Screen Mfg Co Ltd | 基板処理方法および基板処理装置 |
JP2003273059A (ja) * | 2002-03-19 | 2003-09-26 | Mitsubishi Electric Corp | 基板処理方法およびその装置 |
JP2005166958A (ja) * | 2003-12-02 | 2005-06-23 | Ses Co Ltd | 基板処理法及び基板処理装置 |
US20050139926A1 (en) * | 2003-12-26 | 2005-06-30 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing same |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6469015A (en) | 1987-09-10 | 1989-03-15 | Nec Corp | Wafer drying apparatus |
US5575079A (en) * | 1993-10-29 | 1996-11-19 | Tokyo Electron Limited | Substrate drying apparatus and substrate drying method |
JPH08189768A (ja) * | 1994-11-07 | 1996-07-23 | Ryoden Semiconductor Syst Eng Kk | 蒸気乾燥装置、それを組込んだ洗浄装置および蒸気乾燥方法 |
JPH10321585A (ja) * | 1997-05-22 | 1998-12-04 | Mitsubishi Electric Corp | 乾燥装置および乾燥方法 |
JP3897404B2 (ja) * | 1997-07-22 | 2007-03-22 | オメガセミコン電子株式会社 | ベーパ乾燥装置及び乾燥方法 |
JP3194036B2 (ja) * | 1997-09-17 | 2001-07-30 | 東京エレクトロン株式会社 | 乾燥処理装置及び乾燥処理方法 |
JP4758846B2 (ja) * | 2005-11-18 | 2011-08-31 | 東京エレクトロン株式会社 | 乾燥装置、乾燥方法、及び乾燥プログラム、並びに、これらを有する基板処理装置、基板処理方法、及び基板処理プログラム |
-
2007
- 2007-02-21 JP JP2007040322A patent/JP4805862B2/ja not_active Expired - Fee Related
-
2008
- 2008-02-18 KR KR1020080014295A patent/KR100933247B1/ko active IP Right Grant
- 2008-02-20 TW TW097105884A patent/TWI462171B/zh not_active IP Right Cessation
- 2008-02-21 US US12/034,975 patent/US20080200018A1/en not_active Abandoned
-
2011
- 2011-03-31 US US13/076,861 patent/US8393091B2/en not_active Expired - Fee Related
-
2012
- 2012-07-31 US US13/562,741 patent/US8598023B2/en not_active Expired - Fee Related
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1064908A (ja) * | 1996-08-13 | 1998-03-06 | Sony Corp | 半導体装置の配線形成方法及びスパッタ装置 |
US6093654A (en) * | 1996-08-13 | 2000-07-25 | Sony Corporation | Process for forming interconnection of semiconductor device and sputtering system therefor |
JPH10116813A (ja) * | 1996-10-09 | 1998-05-06 | Komatsu Ltd | 洗浄乾燥方法および洗浄乾燥装置 |
US6134807A (en) * | 1997-05-16 | 2000-10-24 | Tokyo Electron Limited | Drying processing method and apparatus using same |
US6158141A (en) * | 1998-05-07 | 2000-12-12 | Sony Corporation | Apparatus and method for drying semiconductor substrate |
JPH11354485A (ja) * | 1998-06-09 | 1999-12-24 | Dainippon Screen Mfg Co Ltd | 基板処理装置及び方法 |
JP2002367952A (ja) * | 2001-06-07 | 2002-12-20 | Dainippon Screen Mfg Co Ltd | 基板処理方法および基板処理装置 |
JP2003273059A (ja) * | 2002-03-19 | 2003-09-26 | Mitsubishi Electric Corp | 基板処理方法およびその装置 |
JP2005166958A (ja) * | 2003-12-02 | 2005-06-23 | Ses Co Ltd | 基板処理法及び基板処理装置 |
US20050139926A1 (en) * | 2003-12-26 | 2005-06-30 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing same |
Also Published As
Publication number | Publication date |
---|---|
KR20080077910A (ko) | 2008-08-26 |
TW200845171A (en) | 2008-11-16 |
US20110183503A1 (en) | 2011-07-28 |
US8393091B2 (en) | 2013-03-12 |
JP2008205236A (ja) | 2008-09-04 |
JP4805862B2 (ja) | 2011-11-02 |
US20120295429A1 (en) | 2012-11-22 |
KR100933247B1 (ko) | 2009-12-22 |
US8598023B2 (en) | 2013-12-03 |
US20080200018A1 (en) | 2008-08-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI462171B (zh) | 基板處理設備、基板處理方法及製造半導體裝置的方法 | |
US8303724B2 (en) | Substrate processing method and non-transitory storage medium for carrying out such method | |
KR100706798B1 (ko) | 실리콘막과 실리콘 게르마늄막이 노출된 기판의 세정 방법및 이를 이용하는 반도체 제조 방법 | |
KR100908784B1 (ko) | 반도체 장치의 제조 방법 | |
US20120034779A1 (en) | Apparatus for manufacturing a semiconductor device | |
US20090191340A1 (en) | Substrate processing method and system | |
TWI494977B (zh) | 由基板表面移除污染物與原生氧化物之方法 | |
JP3318241B2 (ja) | アッシング方法 | |
US6461437B1 (en) | Apparatus used for fabricating liquid crystal device and method of fabricating the same | |
TWI398920B (zh) | Surface treatment of substrates | |
US6764572B2 (en) | Apparatus and method for semiconductor wafer etching | |
JP4612424B2 (ja) | 基板処理方法および半導体装置の製造方法 | |
JP2008071864A (ja) | 半導体装置の製造方法および半導体製造装置 | |
US20070018217A1 (en) | Semiconductor device and manufacturing method of the same | |
JP2008060383A (ja) | 半導体装置の製造方法 | |
van Roijen et al. | Defect reduction by nitrogen purge of wafer carriers | |
JP3639812B2 (ja) | エッチング方法およびエッチング装置 | |
KR20060133606A (ko) | 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법 | |
JP4084207B2 (ja) | 基板処理方法 | |
JP2001044429A (ja) | ゲート絶縁膜形成前処理方法及びその前処理装置 | |
JP2010056332A (ja) | 半導体処理装置及び処理方法 | |
JP2004063513A (ja) | 半導体基板の洗浄乾燥方法 | |
JP2005136437A (ja) | 半導体製造システム及びクリーンルーム | |
JP2001217198A (ja) | 半導体装置の製造方法 | |
JPH0684865A (ja) | 半導体装置の乾式清浄化方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |