KR970072101A - 좁은 구멍의 충전 및 결정상으로 배열된 라이너층을 이용한 금속 상호연결선 형성 - Google Patents

좁은 구멍의 충전 및 결정상으로 배열된 라이너층을 이용한 금속 상호연결선 형성 Download PDF

Info

Publication number
KR970072101A
KR970072101A KR1019960032822A KR19960032822A KR970072101A KR 970072101 A KR970072101 A KR 970072101A KR 1019960032822 A KR1019960032822 A KR 1019960032822A KR 19960032822 A KR19960032822 A KR 19960032822A KR 970072101 A KR970072101 A KR 970072101A
Authority
KR
South Korea
Prior art keywords
layer
sputter
substrate
refractory metal
plasma
Prior art date
Application number
KR1019960032822A
Other languages
English (en)
Other versions
KR100442023B1 (ko
Inventor
추 쳉
포스터 존
야오 체-용
눌맨 자임
첸 후센
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970072101A publication Critical patent/KR970072101A/ko
Application granted granted Critical
Publication of KR100442023B1 publication Critical patent/KR100442023B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

알루미늄 스퍼터 공정은 특히 절연층을 관통하는 높은 종횡비의 통로(via)와 접촉을 형성하는 데 유익하고 전기 이동이 잘 일어나지 않는 상호연결선을 형성하는 데에도 유용하다. 라이너층 또는 방지층은 유도 카풀된 플라즈마로 처리된 것과 같은 고밀도 플라즈마(HDP)의 물리적 증기증착(PVA. 스퍼터라고도 불리움) 공정으로 먼저 증착된다. 접촉 기저부가 실리콘 성분에 연결되면 라이너층의 첫번째 부대층은 Ti층으로 이는 실리콘 기판에 규화된다. 두번째 부대층은 TiN으로 구성되고 이는 원하지 않는 구성분이 밑에 있는 실리콘 기판으로 이동하는 것을 방지하는 층으로 작용할 뿐만 아니라 HDP 공정과 바이어스된 웨이퍼로 증착시 고밀도의 평탄한 결정 구조를 형성하게 한다. 세번째 부대층은 Ti으로 구성되며 TiN에서 Ti로 점차 변화되는 것이 바람직하다. 알루미늄층은 HDP가 아닌 표준공정으로 라이너층 위에 증착된다. 라이너층은 좁은 플러그 구멍을 충전하는동안에 알루미늄 증착의 최고 온 과정을 비교적 저온인 320-500℃에서 수행하게 하고 350-420℃가 바람직하다. 실리콘 기판에 확산되는 것을 효과적으로 방지하는 층을 형성하기 위해서 TiN층의 안닐이 필요없다. 본 발명의 공정으로 형성된 수평 상호연결선은 전기 이동을 하지 않는다.

Description

좁은 구멍의 충전 및 결정상으로 배열된 라이너층을 이용한 금속 상호연결선 형성
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제8도는 본 발명의 라이너층 증착 후에 금속으로 충전된 접촉의 단면도이다.

Claims (58)

  1. 금속을 기판에 스퍼터하는 방법으로, 상기 기판 위에 내화금속 화합물로 구성된 첫째층을 고밀도 플라즈마에서 스퍼터 증착하는 첫단계, 그리고 상기 첫층 위에 두번째 금속층을 스퍼터로 증착하는 두번째 단계로 구성된 방법.
  2. 제1항에 있어, 상기 두번째 층은 주요축을 따라 전기를 전도하는 원소로 구성된 방법.
  3. 제1항에 있어, 상기 첫번째 단계의 상기 고밀도 플라즈마 보다 상당히 작은 밀도를 가진 플라즈마로 두번째 단계를 수행하는 방법.
  4. 제3항에 있어서, 상기 고밀도 플라즈마의 공간을 에워싸는 유도코일에 의해 상기고밀도 플라즈마가 적어도 부분적으로 활성화되는 첫번째 반응 챔버에서 상기 첫단계를 수행하는 방법.
  5. 제1항에 있어서, 상기 고밀도 플라즈마의 공간을 에워싸는 유도코일에 의해 상기 고밀도 플라즈마가 적어도 부분적으로 활성화되는 첫번째 챔버에서 상기 첫단계를 수행하는 방법.
  6. 제5항에 있어서, 상기 금속의 적어도 일부분으로 구성된 스퍼터 대음극판과 상기 기판을 지지하는 받침대 사이에 가해진 전압에 의해 주로 활성화되는 플라즈마 반응 챔버에서 상기 두번째 단계가 수행되는 방법.
  7. 제1항에 있어, 상기 내화 금속 화합물이 내화 금속의 질화물을 포함하는 방법.
  8. 제7항에 있어, 상기 내화금속의 상기 화합물이 TiN을 포함하는 방법.
  9. 제1항에 있어서, 더나아가 세번째 단계가 첫번째 단계와 두번째 단계 사이에 수행되며, 상기 첫번째 층위에 세번째 층을 고밀도 플라즈마에서 스퍼터 증착하여 상기 세번째 층의 적어도 일부가 적어도 한가지 내화 금속으로 주로 구성된 상기 두번째 층을 직면하게 하는 세번째 단계를 포함하는 방법.
  10. 제9항에 있어, 상기 적어도 한가지 내화 금속이 Ti을 포함하는 방법.
  11. 제9항에 있어, 상기 기판은 기판층 위에 높인 절연층으로 형성되며 적어도 1:1의 종횡비를 가지는 상기 절연층을 관통하는 구멍을 포함하고 상기 첫번째, 두번째, 그리고 세번째 단계에서 상기 첫번째, 두번째 그리고 세번째 층들을 상기 구멍에 증착하는 방법.
  12. 제9항에 있어, 상기 기판층은 실리콘으로 구성되고 더나아가 상기 기판층 위에 적어도 한 종류의 두번째 내화금속으로 주로 구성된 네번재 층을 스퍼터 증착하는 네번째 단계를 포함하는 방법.
  13. 제12항에 있어, 상기 적어도 한가지 두번째 내화성 금속으로 Ti을 포함하는 방법.
  14. 제1항에 있어, 상기 두번째 증착 단계에 있어, 상기 기판이 첫번째 온도에서 유지되는 동안에 첫번째 부대층을 스퍼터 증착하는 첫번째 부속단계와 상기기판이 상기 첫번째 온도보다 높은 두번째 온도로 유지되는 동안에 두번째 부대층이 스퍼터 증착되는 부속단계로 구성된 방법.
  15. 제13항에 있어, 상기 금속층이 알루미늄으로 구성되며 상기 첫번째 온도가 250℃이하이고 상기 두번째 온도가 350-470℃ 범위에 있는 방법.
  16. 제14항에 있어, 상기 두번째 온도가 430℃ 이하인 방법.
  17. 제1항에 있어, 상기 챔버 주위를 에워싸고 첫번째 RF 전원으로부터 전력을 제공받는 유도 코일을 포함하는 플라즈마 반응 챔버에서 상기 첫번째 단계가 수행되고 직류 전원이 티타늄으로 구성된 스퍼터 대음극판과 상기 기판을 지지하는 받침대 사이를 연결하는 방법.
  18. 제17항에 있어서, 상기 고밀도 플라즈마를 생성하는 동안에 상기 RF 전원이 상기 코일에 RF 전력 PRF를 제공하며 상기 직류 전원은 직류 전력 PDC를 상기 대음극판에 제공하여 PRF가 PDC의 20%이거나 이 이상인 방법.
  19. 제17항에 있어, 상기 플라즈마 반응 챔버는 더 나아가 RF 바이어스를 상기 받침대에 제공하는 두번째 RF 전원을 포함하므로 상기 고밀도 플라즈마에서 직류 자기 바이어스 VBIAS를 발생시키고 상기 고밀도 플라즈마의 상기 생성 동안에, 다음 조건이 만족되는 방법 : (PRF/PDC)/VBIAS10
  20. 제19항에 있어, (PRF/PDC)/VBIAS15인 방법.
  21. 제1항에 있어, 상기 첫번째 스퍼터 단계가 상기 고밀도 플라즈마를 포함하고 1mTorr 이상의 압력을 가진 챔버에서 수행되는 방법.
  22. 제21항에 있어, 상기 압력이 10mTorr 이상인 방법.
  23. 제22항에 있어, 상기 압력이 30mTorr 이상인 방법.
  24. 제23항에 있어, 상기 압력이 100mTorr 이상인 방법.
  25. 제22항에 있어, 상기 압력이 100mTorr 이상인 방법.
  26. 금속층을 스퍼터하는 방법으로, 기판을 플라즈마를 생성하기 위한 유도코일을 포함하는 첫번째 스퍼터 챔버에 배치, 상기 첫번째 스퍼터 챔버 내에서 적어도 하나의 내화금속 화합물로 구성되는 첫번째 층을 상기 기판에 증착하는 첫번째 부속단계를 포함하고 상기 첫번째 증착 단계에서 상기 유도코일에 의해 형성된 상기 플라즈마를 이용하는 첫번째 단계, 상기 첫번째 스퍼터 챔버에서 결합된 두개의 전극에 의해 주로 플라즈마가 형성되는 두번째 스퍼터 챔버로 상기 기판의 이전, 그리고 상기의 적어도 두개 전극들에 의해 생성된 상기 플라즈마를 사용하여 상기 첫번째 층위에 금속으로 구성된 두번째 층을 증착하는 단계들로 구성된 방법.
  27. 제26항에 있어, 상기 화합물은 상기 내화금속의 질화물을 포함하는 방법.
  28. 제26항에 있어, 상기 적어도 한 내화금속이 Ti을 포함하는 방법.
  29. 제26항에 있어, 첫번째 단계는 적어도 한가지 두번째 내화금속으로 주로구성된 두번째 부대층을 상기 첫번째 부속단계 이전에 증착하는 두번째 부속단계를 포함하는 방법.
  30. 제29항에 있어, 상기 적어도 한가지 두번째 내화금속은 Ti을 포함하는 방법.
  31. 접촉 구멍의 기저부분 옆에 실리콘 부분을 포함하는 기판 위에 형성된 절연층에 만들어진 상기 접촉구멍의 스퍼터 충전 방법으로, 상기 접촉 구멍을 포함하는 상기 기판 위에 금속 티타늄으로 구성된 첫번째 층의 스퍼터 증착을 고밀도 플라즈마에서 수행하는 첫번째 단계, 상기 첫번째 층 위에 TiN으로 구성된 두번째 층의 스퍼터 증착을 고밀도 플라즈마에서 수행하는 첫번째 단계, 상기 두번째 층 위에 상부가 금속 티타늄으로 구성된 세번째 층의 스퍼터 증착을 수행하는 세번째 단계, 그리고 상기 세번째 층 위에 주로 알루미늄으로 구성된 네번째 층을 스퍼터 증착하는 네번째 단계의 단계들로 구성된 방법.
  32. 제31항에 있어, 상기 첫번째, 두번째, 그리고 세번째 단계들은 첫번째 스퍼터 챔버에서 수행되고 상기 네번째 단계는 두번째 스퍼터 챔버에서 수행되는 방법.
  33. 제32항에 있어, 상기 네번째 단계는 티타늄으로 구성된 스퍼터 대음극판과 상기 기판을 지지하는 받침대 사이에 가해진 직류 전기 신호에 의해 주로 생성되는 플라즈마에서 수행되는 방법.
  34. 제31항에 있어, 두번째 단계로서 상기 기판과 티타늄으로 구성된 스퍼터 대음극판 표면으로 둘러싸인 스퍼터 챔버에 질소 기체의 공급, 그리고 TiN이 상기 기판 위에 반응적으로 스퍼터되도록 상기 질소 존재하에서 상기 스퍼터 대음극판의 스퍼터를 포함하는 방법.
  35. 제34항에 있어, 상기 세번째 단계에서는 상기 두번째 단계와 세번째 단계 사이에 플라즈마를 계속하는 동안에 상기 챔버에 상기 질소의 공급을 중단하여 TiN에서 Ti로 변화하는 TiNX의 구성으로 세번째 층이 증착되는 방법.
  36. 제31항에 있어, 상기 두번째 단계가 1-100mTorr의 압력으로 유지되고 상기 고밀도 플라즈마를 포함하는 챔버에 수행되는 방법.
  37. 제36항에 있어, 상기 압력이 30mTorr이거나 그 이상인 방법.
  38. 절연층 위에 상호 연결부를 형성하는 방법으로, 고밀도 플라즈마에서 절연층 위에 내화 금속 화합물로 구성되는 라이너층의 증착, 상기 라이너층 위에 금속층의 증착, 그리고 금속층을 수평으로 연장하는 전기 상호 연결로의 사진평판적 구조형성을 포함하는 단계들로 구성된 방법.
  39. 제38항에 있어, 상기 내화 금속 화합물이 Ti과 N로 구성된 방법.
  40. 제39항에 있어, 상기 금속층이 알루미늄으로 구성된 방법.
  41. 접촉 구조로서, 실리콘 표면을 포함하는 기판, 상기 기판 위에 형성되며 상기 실리콘 표면까지 연장되는 구멍이 관통하는 절연층 상기 구멍의 벽과 밑바닥 위에 증착되고 첫번째 내화금속을 함유한 첫번째 층, 첫번째 층 위에 증착되고 두번째 내화금속 화합물을 함유한 두번째 층, 두번째 층 위에 증착되고 세번째 내화금속을 함유한 세번재 층, 그리고 상기 세번재 층 위에 증착된 네번째 금속층으로 구성되고 상기 세번째 층의 RMS표면 거칠음은 1.5nm 이상이 아닌 접촉 구조.
  42. 제41항에 있어, 상기 네번째 층이 상기 구멍을 대부분 충전하는 접촉 구조.
  43. 제41항에 있어, 상기 두번째 내화 금속 화합물은 상기 세번째 내화금속의 질화물을 함유하는 접촉 구조.
  44. 제43항에 있어, 상기 첫번째, 두번째, 그리고 세번째 내화 금속 각각은 티타늄을 포함하는 접촉 구조.
  45. 제41항에 있어, 네번째 층은 알루미늄으로 구성된 접촉 구조.
  46. 상호 연결 구조로서, 표면 절연 부분을 포함하는 기판, 상기 기판 위에 증착되고 첫번째 내화 금속 화합물을 함유하는 첫번째 층, 그리고 첫번째 층 위에 증착되고 적어도 수평으로 연장하는 하나의 전기 연결의 구조로 형성된 두번째 금속층으로 구성되고 상기 첫번째 층의 RMS 표면 거칠음이 1.5nm이상이 아닌 상호 연결 구조.
  47. 제46항에 있어, 상기 화합물이 내화 질화물인 상호연결 구조.
  48. 제47항에 있어, 상기 첫번째 내화 금속은 티타늄을 포함하는 상호 연결 구조.
  49. 제46항에 있어, 상기 기판 위에 증착된 세번째 층을 포함하고, 두번째 내화 금속을 포함하고, 그 위에 상기 첫번째 층에 의해 증착되는 상호 연결 구조.
  50. 제49항에 있어, 상기 화합물이 내화 질화물인 상호 연결 구조.
  51. 제50항에 있어, 상기 첫번째 그리고 두번째 내화 금속이 티타늄을 포함하는 상호 연결 구조.
  52. (a) 티타늄 함유 대음극판과 질소 기체 공급원을 포함하는 첫번째 고밀도 플라즈마 PVD 반응 챔버, (b) 상기 첫번째 PVD 반응 챔버보다 훨씬 낮은 최고 밀도를 가진 플라즈마를 생성시킬 수 있고, 알루미늄 함유 대음극판이 있는 두번째 PVD 반응 챔버, (c) 상기 첫번째와 두번째 PVD 반응 챔버에 선택적으로 연결할 수 있고 진공을 깨뜨리지 않고 각각의 상기 PVD 반응 챔버 속으로 그리고 밖으로 기판을 선택적으로 이동할 수 있는 기판 취급기를 적어도 하나 포함하는 적어도 한개의 이동 챔버, 및 (d)상기 첫번째 그리고 두번째 PVD 반응 챔버들과 상기 로봇의 작동을 제어하는 제어기로 구성된 반도체 처리 장치에서, 상기 첫번째 PVD 반응 챔버에서 티타늄과 질소로 구성된 라이너층을 기판위에 증착하고 나서, 상기 로봇이 상기 기판을 상기 첫번째 PVD 반응 챔버로부터 상기 두번째 반응 챔버로 이동하고 나서, 그 후에 상기 두번째 PVD 반응 챔버에서 상기 라이너층 위에 알루미늄층을 증착하는 단계들을 포함하는 제어 프로그램을 상기 제어기에 부하하는 부하 수단을 포함하는 발명.
  53. 제52항에 있어, 부하 수단은 상기 제어 프로그램이 기록되고 이동가능 기록가능한 매체로 구성된 반도체 처리 장치.
  54. 제52항에 있어, 상기 첫번째 PVD 반응 챔버는 유도코일을 포함하여 상기 첫번쩨 PVD 반응 챔버에서 RF 전력을 플라즈마에 카풀하고, 상기 두번째 PVD 반응 챔버는 두개의 전극을 포함하여 상기 두번째 PVD 반응 챔버에서 주로 플라즈마를 생성하기 위해 직류 전력을 카풀하는 반도체 처리 장치.
  55. 통합된 제조 공구로, 고밀도 플라즈마의 생성을 위해 RF 전원에 카풀된 유도코일을 포함하는 첫번째 PVD 반응 챔버, 주로 플라즈마의 생성을 위해 RF 전원에 카풀된 2개의 전극을 포함하는 두번째 PVD 반응 챔버, 진공상태로 펌프될 수 있으며 기판을 첫번째와 두번째 반응기 안으로 그리고 밖으로 기판들을 이동하는 로봇을 포함하고 상기 첫번째 그리고 두번째 반응기에 선택적으로 열리는 이동 챔버와 상기 첫번째 및 두번째 반응기와 상기 로봇을 제어하는 제어기로 구성된 공구.
  56. 제55항에 있어, 상기 첫번째 반응기는 내화금속으로 구성된 PVD 대음극판을 포함하는 공구.
  57. 제56항에 있어, 상기 내화 금속으로 티타늄을 포함하는 공구.
  58. 제57항에 있어, 상기 두번째 반응기는 알루미늄으로 구성된 대음극판을 포함하는 공구.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960032822A 1995-08-07 1996-08-07 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성 KR100442023B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/511,825 US5962923A (en) 1995-08-07 1995-08-07 Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US08/628,835 US6217721B1 (en) 1995-08-07 1996-04-05 Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US08/628,835 1996-04-05

Publications (2)

Publication Number Publication Date
KR970072101A true KR970072101A (ko) 1997-11-07
KR100442023B1 KR100442023B1 (ko) 2004-10-25

Family

ID=24036608

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1019960032821A KR100489916B1 (ko) 1995-08-07 1996-08-07 반도체웨이퍼내의접촉점,비아및트렌치를저온에서금속으로충전하고평탄화하는방법및장치
KR1019960032822A KR100442023B1 (ko) 1995-08-07 1996-08-07 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
KR1020040074509A KR100512155B1 (ko) 1995-08-07 2004-09-17 반도체 웨이퍼내의 접촉점, 비아 및 트렌치를 저온에서금속으로 충전하고 평탄화하는 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019960032821A KR100489916B1 (ko) 1995-08-07 1996-08-07 반도체웨이퍼내의접촉점,비아및트렌치를저온에서금속으로충전하고평탄화하는방법및장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020040074509A KR100512155B1 (ko) 1995-08-07 2004-09-17 반도체 웨이퍼내의 접촉점, 비아 및 트렌치를 저온에서금속으로 충전하고 평탄화하는 장치

Country Status (4)

Country Link
US (5) US5962923A (ko)
EP (1) EP0758148A3 (ko)
JP (3) JP3193875B2 (ko)
KR (3) KR100489916B1 (ko)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2891161B2 (ja) * 1996-02-15 1999-05-17 日本電気株式会社 配線形成方法
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW402778B (en) * 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US5925225A (en) * 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW460597B (en) 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
KR100480572B1 (ko) * 1997-11-13 2005-09-30 삼성전자주식회사 알루미늄배선층형성방법
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
JP3399814B2 (ja) * 1997-11-27 2003-04-21 科学技術振興事業団 微細突起構造体の製造方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JP3735461B2 (ja) * 1998-03-27 2006-01-18 株式会社シンクロン 複合金属の化合物薄膜形成方法及びその薄膜形成装置
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6187673B1 (en) * 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
WO2000041235A1 (en) 1999-01-08 2000-07-13 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
TW426953B (en) * 1999-01-22 2001-03-21 United Microelectronics Corp Method of producing metal plug
DE19922557B4 (de) * 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
DE10014917B4 (de) * 2000-03-17 2004-12-02 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20050181600A1 (en) * 2000-06-30 2005-08-18 Hynix Semiconductor, Inc. Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6376281B1 (en) * 2000-10-27 2002-04-23 Honeywell International, Inc. Physical vapor deposition target/backing plate assemblies
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
US6688584B2 (en) * 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6746950B2 (en) * 2001-11-14 2004-06-08 Vitesse Semiconductor Corporation Low temperature aluminum planarization process
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
KR100440261B1 (ko) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
DE10208787B4 (de) * 2002-02-28 2007-04-26 Infineon Technologies Ag Verfahren zum Füllen von teilweise bauchigen tiefen Gräben oder tiefen Gräben mit einer Flankensteilheit von 90° oder größer und dieses verwendende Verfahren zur Herstellung von MOS-Feldeffekttransistoren und IGBTs
DE10214065B4 (de) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
DE10219115A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6948231B2 (en) * 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040112735A1 (en) * 2002-12-17 2004-06-17 Applied Materials, Inc. Pulsed magnetron for sputter deposition
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
KR100467783B1 (ko) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
EP1433740A1 (en) * 2002-12-24 2004-06-30 Interuniversitair Microelektronica Centrum Vzw Method for the closure of openings in a film
KR100688761B1 (ko) * 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 반도체의 금속배선 형성방법
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US7253092B2 (en) * 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
CN1802450B (zh) * 2003-08-11 2010-11-03 霍尼韦尔国际公司 靶/靶座结构和形成靶/靶座结构的方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US20050181177A1 (en) * 2004-02-18 2005-08-18 Jamie Knapp Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
DE102005023670B4 (de) * 2004-05-25 2007-12-27 Samsung Electronics Co., Ltd., Suwon Verfahren zum Ausbilden von Metall-Nitrid-Schichten in Kontaktöffnungen und integrierte Schaltung mit derart ausgebildeten Schichten
KR100594276B1 (ko) * 2004-05-25 2006-06-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP4916444B2 (ja) * 2005-08-26 2012-04-11 株式会社日立製作所 半導体装置の製造方法
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
DE112005003768A5 (de) * 2005-12-09 2009-02-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dünnfilmwiderstand mit Schichtstruktur und Verfahren zur Herstllunng eines Dünnfilmwiderstands mit Schichtstruktur
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070243708A1 (en) * 2006-04-12 2007-10-18 Jens Hahn Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
US20090077506A1 (en) * 2007-05-16 2009-03-19 Eugene Anikin Simultaneous Multi-Layer Fill Generation
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
EP1947210A1 (fr) * 2007-01-16 2008-07-23 ARCELOR France Procede de revetement d'un substrat, installation de mise en oeuvre du procede et dispositif d'alimentation en metal d'une telle installation
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
WO2009132822A2 (de) * 2008-04-28 2009-11-05 Cemecon Ag Vorrichtung und verfahren zum vorbehandeln und beschichten von körpern
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US7969011B2 (en) * 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
US20100078758A1 (en) * 2008-09-29 2010-04-01 Sekar Deepak C Miim diodes
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP2010245334A (ja) 2009-04-07 2010-10-28 Renesas Electronics Corp 半導体装置の製造方法
JP5144585B2 (ja) * 2009-05-08 2013-02-13 住友電気工業株式会社 半導体装置およびその製造方法
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
JP5612830B2 (ja) * 2009-05-18 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
JP5649441B2 (ja) 2009-12-29 2015-01-07 キヤノンアネルバ株式会社 金属膜を埋め込む工程を有する電子部品の製造方法
WO2011081202A1 (ja) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102453855B (zh) * 2010-10-28 2014-12-31 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
KR101638464B1 (ko) 2012-01-24 2016-07-11 캐논 아네르바 가부시키가이샤 전자부품 제조방법 및 전극구조
US8723115B2 (en) 2012-03-27 2014-05-13 Kla-Tencor Corporation Method and apparatus for detecting buried defects
US9449788B2 (en) 2013-09-28 2016-09-20 Kla-Tencor Corporation Enhanced defect detection in electron beam inspection and review
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
JP6801200B2 (ja) * 2016-03-16 2020-12-16 富士電機株式会社 炭化珪素半導体素子の製造方法
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN107394062B (zh) * 2017-07-20 2019-02-05 京东方科技集团股份有限公司 一种有机发光二极管显示面板及其制作方法、显示装置
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
DE102017219425B3 (de) * 2017-10-30 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nanostrukturierte Titan-Mehrschichtelektrode
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
CN113261075A (zh) * 2018-12-21 2021-08-13 应用材料公司 用于形成触点的处理系统和方法
US11670485B2 (en) * 2019-08-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
CN110565056B (zh) * 2019-09-19 2021-03-30 广东工业大学 一种5g金属/陶瓷复合电路板及其制备方法
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230134596A1 (en) * 2021-10-29 2023-05-04 Texas Instruments Incorporated Metal stack to improve stack thermal stability

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (fr) * 1967-06-22 1968-08-02 Alcatel Sa Perfectionnements à l'obtention de dépôts par pulvérisation cathodique
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) * 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) * 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5384684A (en) 1976-12-29 1978-07-26 Fujitsu Ltd Plasma etching device
FR2475798A1 (fr) * 1980-02-13 1981-08-14 Commissariat Energie Atomique Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4499655A (en) * 1981-03-18 1985-02-19 General Electric Company Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (ja) * 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> 半導体結晶上への絶縁膜の形成法
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6043481A (ja) * 1983-08-19 1985-03-08 旭硝子株式会社 スパツタリング法及びその装置
US4717632A (en) * 1983-08-22 1988-01-05 Ovonic Synthetic-Materials Company, Inc. Adhesion and composite wear resistant coating and method
FR2555362B1 (fr) * 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
JPS6164124A (ja) 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
US4663009A (en) 1985-02-08 1987-05-05 Hewlett-Packard Company System and method for depositing plural thin film layers on a substrate
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (ja) * 1985-12-25 1987-07-07 Kyocera Corp 太陽電池モジユ−ル
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
DE3632340C2 (de) * 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4853102A (en) 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPS63317675A (ja) 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (ja) * 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (ko) * 1989-10-03 1999-03-30 제임스 조셉 드롱 고주파 반도체 웨이퍼 가공장치 및 방법
US5658828A (en) 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5635036A (en) 1990-01-26 1997-06-03 Varian Associates, Inc. Collimated deposition apparatus and method
EP0440377B1 (en) 1990-01-29 1998-03-18 Varian Associates, Inc. Collimated deposition apparatus and method
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
JPH0427163A (ja) 1990-05-23 1992-01-30 Fujitsu Ltd 半導体装置およびその製造方法
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
JPH0816266B2 (ja) 1990-10-31 1996-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 高アスペクト比の穴に材料を付着させる装置
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
DE4200809C2 (de) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
US5242860A (en) 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
KR0126457B1 (ko) * 1992-01-08 1997-12-26 기타오카 다카시 집적회로, 그 제조방법 및 그 박막형성장치
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
JPH06168891A (ja) 1992-11-30 1994-06-14 Mitsubishi Electric Corp 半導体製造装置
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
JPH0824119B2 (ja) * 1993-07-07 1996-03-06 日本電気株式会社 半導体装置の製造方法
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
JPH07193025A (ja) * 1993-11-22 1995-07-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
JP2904263B2 (ja) * 1995-12-04 1999-06-14 日本電気株式会社 スパッタ装置
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
JPH10237639A (ja) 1997-02-24 1998-09-08 Anelva Corp 集積回路用バリア膜を作成するスパッタリング装置
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Also Published As

Publication number Publication date
US6136095A (en) 2000-10-24
JPH09162293A (ja) 1997-06-20
JP2001358091A (ja) 2001-12-26
JP3193875B2 (ja) 2001-07-30
KR970013059A (ko) 1997-03-29
JP2008261059A (ja) 2008-10-30
EP0758148A3 (en) 1999-08-18
EP0758148A2 (en) 1997-02-12
US20020089027A1 (en) 2002-07-11
KR100489916B1 (ko) 2005-09-15
US5962923A (en) 1999-10-05
US6313027B1 (en) 2001-11-06
US6217721B1 (en) 2001-04-17
KR100512155B1 (ko) 2005-09-05
KR100442023B1 (ko) 2004-10-25

Similar Documents

Publication Publication Date Title
KR970072101A (ko) 좁은 구멍의 충전 및 결정상으로 배열된 라이너층을 이용한 금속 상호연결선 형성
US6045666A (en) Aluminum hole filling method using ionized metal adhesion layer
US6953742B2 (en) Tantalum barrier layer for copper metallization
TW451410B (en) Staged aluminum deposition process for filing vias
JP5392215B2 (ja) 成膜方法及び成膜装置
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
KR20010012516A (ko) 구리 금속공정용 신뢰성 베리어 인테그레이션
JP2011091242A (ja) 半導体装置の製造方法
US6155198A (en) Apparatus for constructing an oxidized film on a semiconductor wafer
KR20010034151A (ko) 금속화 방법 및 장치
KR100501460B1 (ko) 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법
JPH08250596A (ja) 半導体装置の金属配線形成方法
US6451179B1 (en) Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
KR19990063873A (ko) 집적 회로용 금속 스택의 티타늄과 알루미늄 합금사이의 개선된 인터페이스
US6268284B1 (en) In situ titanium aluminide deposit in high aspect ratio features
KR20010021130A (ko) 게이트 전극을 위한 이온화된 금속 플라즈마 Ta,TaNx, W, WNx 라이너
KR100439475B1 (ko) 금속층 적층방법 및 장치
JPH06158299A (ja) 薄膜形成法及び装置並びに集積回路装置
JP3793273B2 (ja) 半導体集積回路装置の製造方法
TW452959B (en) A novel hole-filling technique using CVD aluminium and PVD aluminum integration
JP2009182140A (ja) 薄膜の形成方法、プラズマ成膜装置及び記憶媒体
KR100268788B1 (ko) 반도체소자의 금속배선 형성방법
KR100458297B1 (ko) 반도체소자의금속배선형성방법
JP2008141051A (ja) 半導体装置の製造方法及び半導体装置の製造装置
CN100419994C (zh) 填充接触孔的方法及具接触孔的集成电路装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee