KR20010012516A - 구리 금속공정용 신뢰성 베리어 인테그레이션 - Google Patents

구리 금속공정용 신뢰성 베리어 인테그레이션 Download PDF

Info

Publication number
KR20010012516A
KR20010012516A KR1019997010471A KR19997010471A KR20010012516A KR 20010012516 A KR20010012516 A KR 20010012516A KR 1019997010471 A KR1019997010471 A KR 1019997010471A KR 19997010471 A KR19997010471 A KR 19997010471A KR 20010012516 A KR20010012516 A KR 20010012516A
Authority
KR
South Korea
Prior art keywords
barrier layer
hole
layer
chamber
vapor deposition
Prior art date
Application number
KR1019997010471A
Other languages
English (en)
Inventor
후센 첸
리앙-유 첸
로더릭 크레이그 모셀리
모쉬 아이젠버그
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010012516A publication Critical patent/KR20010012516A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 구리로 홀을 매입하기 위한 프로세스 시퀀스 및 이에 따른 하드웨어을 제공한다. 상기 시퀀스에는 홀이 형성된 절연층을 통한 구리의 확산을 방지하기 위하여 상기 홀에 신뢰성있는 베리어 층을 형성하는 단계가 포함된다. 일 시퀀스에는 패턴된 절연체 상에 일반적으로 컨포말한 베리어 층을 형성하는 단계, 홀의 하부를 식각하는 단계, 두번째 베리어를 증착하는 단계, 및 구리로 상기 홀을 매입하는 하는 단계가 포함된다. 또다른 시퀀스에는, 기저 절연층 상에 첫번째 베리어 층을 증착하는 단계, 상기 베리어 층과 상기 절연층 양자를 통하여 홀을 형성하는 단계, 상기 홀에 일반적으로 컨포말한 두번째 베리어 층을 증착하는 단계, 상기 홀의 하부에서 상기 베리어 층을 제거하는 단계, 및 상기 홀을 선택적으로 구리로 매입하는 단계가 포함된다.

Description

구리 금속공정용 신뢰성 베리어 인테그레이션{RELIABILITY BARRIER INTEGRATION FOR CU METALLISATION}
근래의 반도체 집적회로에는, 절연체용으로 다른 물질들이 사용될 수 있으나, 단순히 산화층으로 불리기도 하는, 대개 이산화실리콘 또는 실리카와 같은 절연(유전)층으로 분리된 다층 구조가 포함된다. 상기 층들은 하부에 놓이는 도전성 특징부와 접속되는 개재 산화물을 관통하는 홀에 의해 전기적으로 인터커넥트된다. 홀이 식각된 후에, 이 홀들은 전형적으로 알루미늄(그러나 현재에는 구리로 전환되는 경향을 보임)과 같은 금속으로 매입되어 상부 층과 하부 층이 전기적으로 접속된다. 이러한 총괄적 구조를 플러그라 한다. 플러그가 실리콘 또는 폴리실리콘에 접속되는 경우에, 플러그는 콘택트가 된다. 플러그가 금속에 접속되는 경우에, 플러그는 비아가 된다.
특징부 사이즈가 축소되어가기 때문에, 집적회로의 회로소자의 집적도가 증가되어 형성됨에 따라서 플러그가 점차 곤란한 문제를 발생시키게 되었다. 로직 응용에서, 산화층의 두께는 주변과 1㎛로 제한되고 있는 것으로 보이며, 플러그의 지름은 주변과 0.25㎛ 또는 0.35㎛에서 0.18㎛ 이하로 감소되었다. 그 결과, 플러그의 에스팩트 비율(aspect ratio)(최소 측방 치수에 대한 깊이의 비율)이 5:1 이상이 되지 않으면 안된다.
사이즈가 감소됨에 따라서, 플러그를 형성하는 물질의 특성이 점점 더 중요하게 된다. 플러그가 소형화될 수록, 속도 성능을 위해서 플러그를 형성하는 물질이 더 작은 비저항을 가져야 한다. 그 결과 구리가 중요한 물질로서 부각되었다. 구리의 비저항은 1.7μΩ㎝ 이다. 구리는 작은 RC 시간상수를 가지므로 이에 의해 제조되는 소자는 속도가 향상된다. 또한, 구리는 알루미늄에 대하여 우수한 내전기이동도(electromigration resistance)를 갖는 우수한 신뢰성을 보이며 배선상에 보다 많은 전류를 운반할 수 있다.
구리 사용의 하나의 문제점은 구리가 이산화실리콘, 실리콘 및 다른 절연 물질로 확산된다는 것이다. 따라서, 구리의 절연체로의 확산을 방지하고 소자 집적도의 비신뢰성을 방지하는 베리어층이 더욱 중요하게 된다. 구리 적용 베리어층이 절연체 층간 응용으로 가능하다. 층간 절연체 상에 박형 질화실리콘(SiN)층을 사용하는 것은 층간 확산을 효과적으로 방지한다. 동일한 절연층 내에서 배선간의 누설을 방지하는 효과적인 베리어를 제공하는 것은 곤란하다. 구리 금속을 층간 절연체로부터 분리시키도록 비아 측벽에 베리어 라이너(liner)를 부착하는 수개의 기술들이 현재 연구중이다. 통상적인 물리 기상 증착(PVD) 기술은 이에 의한 증착의 방향성으로 인하여 높은 에스팩트(aspect)와 재진입(re-entrant) 구조에로 제한된다. 베리어 두께는 구조 하부 근처의 측벽 상에서 박형 베리어를 갖는 구조에 직접 의존한다. 재진입 구조상의 돌출(overhang) 하에서는 베리어 두께, 따라서 베리어 집적도는 의심스럽게 된다.
이와 대조적으로, CVD 증착 막은 그 특성에 의해 재진입 구조에서의 컨포말하다. 또한, CVD 증착 막은 구조의 하부 인터페이스에 대해 고도의 컨포말리티(conformality)를 유지한다. 유기물(TDMAT)의 분해에 의해 준비되는 질화실리콘(SixNy) 및 질화티탄(TiN)은 위에서 설명한 컨포말한 성능을 나타내는 통상적인 반도체 제조 물질이다. 이 두 개의 물질은 구리의 층간확산에 대해 우수한 베리어로서 생각될 수 있으나 이들의 높은 비저항 때문에 매력적인 물질로는 생각되지 않는다. 이 물질들의 높은 비저항 특성은 로직 소자 성능을 극대화하기 위해서 가능한 한 낮게 유지되어야 하는 비아 저항 성능에 불리한 영향을 미친다. 이상적으로, 우수한 베리어라면 도1에 도시된 바와 같이 플러그의 측벽을 라이닝할 것이다.
따라서, 비아 측벽상에 우수한 베리어 층을 제공하나 플러그의 저항에 악영향을 끼치지 않는 프로세스 시퀀스와 이에 따른 하드웨어에 대한 요구가 발생한다.
본 발명은 비아(via) 벽에 형성된 박형 컨포말한(conformal) 베리어 층을 사용하여 전형적으로 듀얼 다마신(damascene) 구조인 배선과 플러그를 제조하는 증착 시퀀스 및 이와 관련된 하드웨어에 관한 것이다.
도1은 플러그의 측벽 상에 형성된 우수한 베리어 층을 갖는 구리로 매입된 플러그를 도시하는 종래기술의 도면,
도2-5는 본 발명에 따른 일 프로세스 시퀀스를 갖는 기판의 부분 단면도들,
도6은 본 발명의 일 프로세스 시퀀스의 흐름도,
도7-12는 본 발명에 따른 또다른 프로세스 시퀀스를 갖는 기판의 부분 단면도들,
도13은 본 발명의 또다른 프로세스 시퀀스의 흐름도,
도14는 멀티 챔버 프로세싱 장치의 개략도,
도15는 고밀도 PVD 프로세스 챔버의 단면도,
도16은 고밀도 CVD 프로세스 챔버의 단면도,
도17은 컴퓨터 제어 프로세싱 장치의 단면 흐름도이다.
본 발명은 일반적으로 기판상의 홀을 구리로 매입하는 프로세스 시퀀스 및 이에 따른 하드웨어를 제공한다. 상기 프로세스 시퀀스에는 먼저 홀이 형성된 절연층으로의 구리의 확산을 방지하기 위하여 신뢰성있는 베리어 층을 형성하는 단계가 포함된다. 본 발명의 일실시예에는 패턴된 절연층 상에 일반적으로 컨포말한 베리어 층을 형성하는 단계, 상기 홀의 하부를 식각하는 단계, 두번째 베리어를 증착하는 단계, 및 상기 홀을 구리로 매입하는 단계가 포함된다. 또다른 시퀀스에는 첫번째 베리어층을 기저 절연층 상에 증착하는 단계; 상기 베리어층과 상기 절연층을 관통하여 홀을 형성하는 단계; 일반적으로 컨포말한 두번째 베리어층을 상기 홀에 증착하는 단계, 상기 홀의 하부에서 상기 베리어 층을 제거하는 단계; 및 상기 홀에 선택적으로 구리를 매입하는 단계가 포함된다.
도면과 구체적 실시예를 참조하여 위에서 간략히 설명한 본원의 특징에 대하여 상세히 설명함으로써 본 발명의 상기 특징들, 장점 및 목적이 이해될 수 있을 것이다.
그러나, 도면은 본 발명의 전형적인 실시예를 설명하고자 하는 것이며 그 범위로 한정되는 것이 아님을 이해하여야 하며 본 발명의 범위에서 다른 동등한 실시예들이 가능하다.
본 발명은 플러그가 형성된 절연층으로의 구리 확산을 방지하기 위해서 플러그의 측벽 상에 형성된 신뢰성있는 베리어를 갖는 구리(Cu) 플러그를 형성하는 프로세스 시퀀스 및 이에따른 하드웨어를 제공한다. 본 발명의 일 국면에서, 먼저 SixNy와 같은 일반적으로 컨포말한 베리어층으로 증착된 절연층에 형성된 플러그를 갖는 기판상에 증착함으로써 구리 플러그를 형성하는 시퀀스 및 이에따른 하드웨어가 제공된다. 다음, 기판은 전형적으로 금속 층 또는 실리콘 또는 폴리실리콘층인 하부에 놓이는 층을 갖는 인터페이스의 플러그 하부에 형성되는 베리어 층을 제거하기 위하여 예비 세정(pre-clean) 또는 다른 프로세스를 거친다. 다음, 플러그의 우수한 하부 커버리지(coverage)를 제공하고 식각 공정에 의해 절연층이 노출된 경우에 플러그의 코너 부분을 덮기 위해서 Ta, TaN, TiSiN 또는 TaSiN 층과 같은 두번째 베리어층이 첫번째 베리어 상에 증착된다. 다음, 가열 구리 평탄화와 고압 매입 후에 구리가 고밀도 물리 기상 증착 프로세스를 사용하여 증착되고, 화학 기상 증착 또는 물리 기상 증착 다음의 화학 기상 증착을 거친다.
본 발명의 또다른 국면에서, 비정질 SixNy와 같은 베리어 층이 기판 상에 형성된 SiO2와 같은 기저 절연층을 갖는 기판 상에 형성된다. 다음, 기판이 패터닝되고 베리어 층과 절연층 양자를 관통하여 하부에 놓이는 층이 노출되도록 플러그가 오픈된다. 다음, 컨포말 베리어 층이 플러그의 측벽을 포함하는 패턴된 기판 표면상에 형성된다. 다음, 기판이 하부에 놓이는 층을 갖는 인터페이스의 플러그 하부 상에 형성된 베리어 층을 제거하기 위해 예비 세정 또는 다른 식각 프로세스를 거친다. 하부에 놓이는 층이 구리 또는 다른 금속과 같이 핵생성 물질인 경우에, 구리가 화학 기상 증착 기술을 이용하여 플러그 내에서 선택적으로 성장될 수 있다. 또한, 위에서 설명된 IMP 구리 공정과 압력 매입이 플러그를 매입하는데 이용될 수 있다.
도2-6은 본 발명의 일 프로세스 시퀀스를 예시하며 이에 대해 아래에서 상세히 설명하고자 한다. 도2는 절연층 12을 통하여 하부에 놓이는 금속 층 14에 형성되는 플러그 20을 갖는 기판의 부분 단면도이다. 컨포말한 SixNy베리어 층 16이, 측벽 18과 플러그 20의 하부 상에 일반적으로 컨포말한 베리어 층을 형성하기 위해 CVD 기술을 이용하여 패턴된 표면상에 형성된다. 다음, 기판이 도3에 도시된 바와 같이 하부에 놓이는 금속 층 14를 갖는 인터페이스의 플러그 20 하부 상에 형성된 SixNy베리어 층 22 부분을 제거하도록 예비 세정 또는 다른 식각 프로세스를 거친다. 전형적으로, 식각 프로세스는 또한, 절연층 12 부분에 노출되는 플러그의 상부 코너 물질을 제거한다. 또한, 플러그 측벽 상의 증착은 상부에서 보다 하부에서 박형이 되는 경향이 있다. 따라서, 도4에 도시된 바와 같이, Ta, TaN, TiSiN, 및/또는 TaSiN과 같은 두번째 베리어 층 24은 이온 금속 플라즈마 프로세스(이하에서는 줄여서 IMP 프로세스라 한다)와 같은 고밀도 플라즈마 프로세스를 사용하여 SixNy층 상에 스퍼터 증착되며 절연층 12를 노출시킨다. 다음, 도5에 도시된 바와 같이 가열(warm) 구리 평탄화와 고압 매입 후에 구리가 IMP 프로세스를 이용하여 증착되거나, 화학 기상 증착 기술을 이용하거나 또는 물리기상 증착 후에 화학 기상 증착을 이용하여 증착된다. 전기인쇄와 같은 소형 특징부를 매입하는 다른 구리 증착 기술이 또한 사용될 수 있으며 이는 본 발명의 범위에 속한다. 프로세스 시퀀스는 도6에 나타난 바와 같이 요약될 수 있다.
도7-12는 본 발명에 따른 또다른 프로세스 시퀀스를 나타내며 이에 대해 이하에서 상세히 설명하고자 한다. 도7은 기판 상에 형성된 SixNy층과 같은 베리어 층 15를 갖는 기판 상에 형성된 기저 절연층 12를 도시한다. 상기 프로세스 시퀀스에서, 먼저, SixNy층과 같은 베리어 층 16이 절연층 12 상에 증착된다. 다음, 도8에 도시된 바와 같이, 기판이 절연층 12과 베리어 층 15, 16을 통하여 플러그 20이 형성되도록 패턴되고 식각된다. 다음, 두번째 컨포말한 베리어 층 30(SixNy)이 도9에 도시된 바와 같이 패턴된 표면 상에 형성된다. 다음, 도10에 도시된 바와 같이 기판이 하부에 놓이는 층을 갖는 인터페이스의 플러그 20의 하부 상에 형성된 SixNy층 부분을 제거하도록 예비 세정 또는 다른 식각 프로세스에 노출된다. 다음, 하부에 놓이는 층이 구리의 CVD를 핵생성할 수 있는 도전성 층인 경우의 응용에서, 플러그 내에 구리가 선택적으로 성장될 수 있다. 또한, 플러그 매입을 완결하기 위해 구리는 플러그에 스퍼터 증착되거나 전기도금을 사용하여 증착될 수 있다. 다음, 도12에 도시된 바와 같이, 기판이 과잉 물질 및 원치않는 물질을 기판에서 제거하기 위해 기판은 화학적 기계적 연마를 거치며 이에의해 목적하는 특징부의 형성이 완결된다. 도13은 위에서 설명한 프로세스 시퀀스를 요약하는 흐름도이다.
본 발명의 CVD 프로세스를 수행하는데 적절한 멀티챔버 프로세싱 장치 35의 개략도가 도14에 나타나 있다. 상기 장치는 어플라이드 머티리얼스, 산타 클라라, 캘리포니아에서 상업적으로 구입가능한 "ENDURA"이다. 여기에 도시된 장치 35의 특정 실시예는 반도체 기판과 같은 평판 기판에 적절한 것이며, 본 발명을 예시하기 위해서 제공된 것이고 본 발명의 범위를 제한하는 것은 아니다. 전형적으로 장치 35에는 인터커넥트된 프로세스 챔버, 예를들어 CVD 및 PVD 증착 및 급속 열 어닐링 챔버의 클러스터(cluster)가 포함된다.
콘택트 홀 매입에 관해서, 고밀도 플라즈마는 플라즈마가 존재하는 전체 부피를 어떤 의미에서 실질적으로 채우고 플라즈마의 중심 부분에서 1011-3이상의 평균 이온 밀도를 갖도록 형성된다. 종래의 플라즈마 강화(enhanced) PVD 반응기는 매우 낮은 이온 밀도의 플라즈마를 생성한다. 고밀도 플라즈마가 많은 다양한 타입의 반응기로부터 사용가능하나, 이들은 바람직하게는 도15의 개략 단면도에서 도시된 바와 같은 타입의 플라즈마 반응기와 유도 결합되어 얻어진다. 이하에서 간략히 설명될 이유에 의해, 이들은 이온화 금속 플라즈마(IMP) 반응기로 참조된다.
개략적으로 나타내진 상기 도면에 도시된 바와 같이, 진공 챔버 40이 주로 챔버 벽 42과 타겟 저지판 44에 의해 형성된다. PVD 타겟 46은 타겟 저지판 44에 부착되며 스퍼터 증착될 물질의 적어도 일부를 포함하는 조성을 갖는다. 탄탈(Ta)과 질화탄탈(TaN) 양자를 증착하기 위해서 타겟 46은 탄탈로 이루어져 있다. PVD 막의 층으로 스퍼터 증착될 기판 48이 타겟 46에 대향하는 페데스털(pedestal) 전극 50 상에 지지된다. 프로세스 가스가 각각의 질량 흐름 제어기 56, 58에 의해 측정되어 가스 소스 52, 54로부터 챔버 40으로 공급되며, 진공 펌프 시스템 60이 챔버 40을 목적하는 저압으로 유지한다.
유도 코일 62가 타겟과 페데스털 50 사이의 공간 둘레에 감겨진다. 세 개의 독립적 전원이 이러한 형태의 유도성 결합 스퍼터링 챔버에서 사용된다. 직류 전원 64가 타겟 46을 페데스털 50에 대하여 음으로 바이어스한다. RF 전원 66이 메가헤르쯔 범위에서 전기적 파워를 유도성 코일 62에 제공한다. 타겟 46과 기판 48 사이에 인가된 직류 전압이 챔버에 공급된 프로세싱 가스가 방전되어 플라즈마를 형성된다. 코일 62에 의해 챔버 40에 유도성 결합된 RF 코일 파워가 플라즈마 밀도, 즉 이온화 입자 밀도를 증가시킨다. 타겟 46 후방에 배치된 자석 58이 스퍼터링 효율을 향상시키기 위해서 타겟 46에 인접한 플라즈마 밀도가 현저히 향상된다. 100㎑에서 수 메가헤르쯔 범위 주파수인 전기적 파워를 플라즈마에 대해 이를 바이어스하기 위해서, 또다른 RF 전원 70이 페데스털 50에 인가된다.
가스 소스 54로부터의 아르곤은 주요한 스퍼터링 가스이다. 아르곤은 플라즈마 내에서 이온화되며, 타겟으로부터의 이온 스퍼터 입자, 즉 원자 또는 다중 원자 입자가 타겟으로부터 제거되기에 충분한 에너지를 갖도록 음으로 바이어스된 타겟 46에 아르곤의 양의 전하를 갖는 이온이 끌리게 된다. 스퍼터된 입자는 주요하게는 발사(ballistic) 경로를 움직이고 이들중 일부는 기판 48을 타격하여 기판 상에 타겟 물질 막으로서 증착된다. 타겟 46이 탄탈이고 다른 반응이 존재하지 않는 것으로 가정하면, 탄탈 막이 스퍼터 증착되거나, 또는 알루미늄 타겟의 경우에는, 알루미늄 막이 형성된다.
상기 장치에는 챔버를 둘러싸는 측벽 45과 실링(ceiling) 50을 갖는 CVD 증착 챔버 40(도16에 도시됨)가 포함된다. 챔버 40은 프로세스 가스를 챔버 내로 운반하는 프로세스 가스 분배기 55를 포함한다. 질량 흐름 제어기 및 공기 조작 발브가 프로세스 가스 흐름을 증착 챔버 40 내로 제어하기 위해서 사용된다. 가스 분배기 55는 전형적으로 기판 상에(도시된 바와 같이) 실장되거나, 또는 기판 주변에(미도시) 실장된다. 지지대 65는 기판을 증착 챔버 40 내에 지지하기 위해서 제공된다. 기판이 챔버 40의 측벽의 기판 로딩 입구를 통해 기판 40으로 삽입되어 지지대 65 상에 위치된다. 지지대 65는 지지대 상승 벨로우(bellow) 70에 의해 상승되거나 하강되어 기판과 가스 분배기 55 사이의 갭이 조절될 수 있다. 지지대 65의 홀을 통해 삽입되는 상승 핑거를 포함하는 상승 핑거 어셈블리 75는 챔버 40의 안밖으로 기판을 이동시키는 것을 용이케 하도록 지지대상에 기판을 상승시키고 하강시키는데 이용될 수 있다. 다음, 열 히터 80이 기판을 급속 가열하기 위해서 챔버에 제공된다. 기판의 급속 가열 및 냉각은 바람직하게는 프로세싱 수율을 향상시키며, 동일한 챔버 65 내의 서로다른 온도에서 동작하는 연속 프로세스 간의 신속한 순환을 가능하게 한다. 기판의 온도는 일반적으로 지지대 65의 온도로부터 추정된다.
기판이 수평 관통 베리어 판 105 상의 프로세스 존 95에서 프로세스된다. 베리어 판 105는 챔버 40로부터 폐(spent) 프로세스 가스를 배출하기 위한 배출 시스템 115과 유체상태로 연동하는 배출 홀 110을 갖는다. 전형적인 배출 시스템 115에는 약 10mTorr의 최소 진공을 실현할 수 있는 로타리 날개 진공 펌프(미도시)와, 선택적으로, 생성 가스를 세정하기 위한 세정 시스템이 포함된다. 챔버 40 내의 압력은 기판 면에서 감지되며 배출 시스템 115의 드로틀 밸브를 조절함에 의해 제어된다.
플라즈마 발생기 116가 플라즈마 강화 화학 기상 증착 프로세스의 경우, 챔버의 프로세스 존 95에서 플라즈마를 생성하기 위해서 제공된다. 플라즈마 발생기 116는 (ⅰ)증착 챔버(미도시)를 감는 유도 코일에 RF 전류를 인가함에 의해 유도적으로, (ⅱ) 챔버 내의 프로세스 전극에 RF 전류를 인가함에 의해 용량적으로, 또는 (ⅲ) 챔버 벽 또는 다른 전극이 접지된 상태에서 유도적 및 용량적으로 플라즈마를 생성할 수 있다. 약 750 와트에서 약 2000 와트의 파워 레벨의 직류 또는 RF 전류가 프로세스 존 95에서 플라즈마를 생성하기 위해서 증착 챔버 내에 유도적으로 에너지를 결합시키도록 유도 코일(미도시)에 인가될 수 있다. RF 전류가 사용되는 경우, RF 전류의 통상적인 주파수는 약 400㎑ 에서 약 16㎒, 보다 통상적으로는 약 13.56㎒이다. 선택적으로, 통상적으로 산화알루미늄 또는 수정(quartz)으로 이루어진 가스 제한 또는 플라즈마 포커스 링(미도시)이 프로세스 가스의 흐름 또는 기판 주변의 플라즈마를 제한하는데 사용될 수 있다.
플러그 하부에서 베리어 층을 제거하는데 사용되는 예비 세정 챔버는 어플라이드 머티리얼스 인코포레이티드, 산타 클라라, 캘리포니아로부터 구입가능하다. 또한, 이 기술 분야에서 공지된 다른 식각 챔버가 위에서 설명된 베리어 층을 제거하는데 사용될 수 있다.
프로세스는, 예를들어 시너지 마이크로시스템, 캘리포니아로부터 상업적으로 구입가능한 68400 마이크로프로세서와 같은, 주변 제어 소자를 갖는 메모리 시스템에 인터커넥트된 중앙 처리 장치(CPU)를 포함하는 종래의 컴퓨터 시스템 상에서 동작하는 컴퓨터 프로그램 제품 141을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 68000 에셈블리 언어, C, C++, 또는 파스칼과 같은 종래의 컴퓨터 판독가능 프로그래밍 언어로 쓰여질 수 있다. 적절한 프로그램 코드가 종래의 텍스트 편집기를 이용하여 단일 파일, 또는 멀티 파일에 삽입되어, 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 구현될 수 있다. 삽입된 코드 텍스트가 높은 레벨 언어인 경우, 코드는 컴파일되고, 다음 그 컴파일된 결과 코드는 컴파일된 윈도우 라이브러리 루틴의 오브젝트 코드에 링크된다. 링크된 컴파일 오브젝트 코드를 실행하기 위해서, 시스템 사용자는 오브젝트 코드를 가동하여, 컴퓨터 시스템이 메모리에 코드를 로딩하도록 하여 이로부터 프로그램이 식별한 작업을 수행하도록 CPU가 코드를 판독하고 실행하게 된다.
도17은 컴퓨터 프로그램 141의 계층 제어 구조의 예시적인 블록 다이어그램이다. 사용자는 프로세스 세트 번호와 프로세스 챔버 번호를 프로세스 선택 서브루틴 142에 넣는다. 프로세스 세트는 특정 프로세스 챔버에서 특정 프로세스를 수행하는데 필요하고, 소정의 세트 번호가 식별된 소정의 프로세스 파라미터 세트이다. 프로세스는 목적하는 프로세스 챔버와, (ⅱ) 특정 프로세스를 수행하기 위해 프로세스 챔버를 동작시키는데 필요한 목적하는 프로세스 파라미터 세트를 세팅한다. 프로세스 파라미터들은 예를들어 프로세스 가스 조성 및 흐름률, 온도, 압력, RF 및 직류 바이어스 파워 레벨 및 자장 파워 레벨과 같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건에 관련되어 있다.
프로세스 시퀀서 서브루틴 143에는 식별된 프로세스 챔버와 프로세스 선택 서브루틴 142로부터의 프로세스 파라미터 세트를 받아들이고, 다양한 프로세스 챔버의 동작을 제어하기 위한 프로그램 코드가 포함된다. 다중 사용자가 프로세스 세트 번호와 프로세스 챔버 번호에 들어갈 수 있고, 단일 사용자가 다중 프로세스 세트 번호와 프로세스 챔버 번호에 들어갈 수 있어서, 시퀀서 서브루틴 143이 목적하는 시퀀스에서 선택된 프로세스를 예정하도록 동작된다. 바람직하게는, 시퀀서 서브루틴 143에는 (ⅰ) 챔버가 사용되고 있는지 여부를 결정하기 위해 프로세스 챔버의 동작을 모니터링하는 단계, (ⅱ) 사용되고 있는 챔버에서 어떤 프로세스들이 수행되고 있는지 결정하는 단계, (ⅲ) 프로세스 챔버의 사용가능성과 수행될 프로세스의 타입에 근거하여 목적하는 프로세스를 수행하는 단계를 수행하는 프로그램 코드가 포함된다. 폴링(polling)과 같은 종래의 프로세스 챔버 모니터링 방법이 사용될 수 있다. 어떤 프로세스가 수행될지 예정하는 경우에, 시퀀서 서브루틴 143은 선택된 프로세스용의 목적하는 프로세스 조건과 비교하여 사용된 프로세스 챔버의 현재 조건을 고려하거나, 리퀘스트에 들어간 각 특정 사용자의 "나이" , 또는 예정 우위를 결정하기 위해 포함되도록 원하는 다른 관련 시스템 프로그램 팩터를 고려하도록 설계될 수 있다.
시퀀서 서브루틴 143이 다음에 어떠한 프로세스 챔버 및 프로세스 세트 조합이 수행될 것인가를 결정하면, 특정 프로세스 세트 파라미터를 시퀀서 서브루틴 143에 의해 결정된 프로세스에 따라 서로 다른 프로세스 챔버에서 다중 프로세스 작업을 제어하는 챔버 관리기 서브루틴 144a-c를 통과시킴에 의해 시퀀서 서브루틴 143이 프로세스 세트를 실행시키게 된다. 예를들어, 챔버 관리기 서브루틴 144a에는 목적하는 프로세스 챔버 40 내에서 CVD 프로세스 동작을 제어하기 위한 프로그램 코드가 포함된다. 챔버 관리기 서브루틴 144이 또한 선택된 프로세스 세트를 실행하는데 필요한 챔버 성분 동작을 제어하는 다양한 챔버 성분 서브루틴 또는 프로그램 코드 모듈의 실행을 제어한다. 챔버 성분 서브루틴의 예들에는 기판 위치지정 서브루틴 145, 프로세스 가스 제어 서브루틴 146, 압력 제어 서브루틴 147, 히터 제어 서브루틴 148, 및 플라즈마 제어 서브루틴 149가 포함된다. 이들 서로다른 서브루틴은, (ⅰ)ΔTS온도 범위에서 기판을 온도 TS로 가열하고, (ⅱ)기판의 필드 부분 상에 실질적으로 연속 절연층을 증착하도록 프로세스 존에 반응 가스를 주입하기 위한 시딩(seeding) 프로그램 코드 수단으로서 기능하며, (ⅰ)ΔTd온도 범위에서 기판을 증착 온도 Td로 유지하고, (ⅱ)콘택트 홀 또는 비아에 성장되는 에피택셜 성장 층을 형성하도록 프로세스 존에 증착 가스를 주입하기 위한 증착 성장 프로그램 코드 수단으로서 기능한다. 프로세스 챔버 40 내에서 어떤 목적하는 프로세스가 수행되는지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있다는 점을 당업자는 용이하게 이해할 수 있을 것이다.
동작시, 챔버 관리기 서브루틴 144a은 실행되는 특정 프로세스 세트 내에서 프로세스 성분 서브루틴을 선택적으로 예정하거나 요청한다. 챔버 관리기 서브루틴 144a은 시퀀서 서브루틴 143이 어떤 프로세스 챔버 40 및 프로세스 세트가 다음에 실행될 것인지 예정하는 것과 유사하게 프로세스 성분 서브루틴을 예정한다. 전형적으로, 챔버 관리기 서브루틴 144a는 다양한 챔버 성부을 모니터링하는 단계, 실행될 프로세스 세트용 프로세스 파라미터에 근거하여 어떤 성분이 동작되는 것이 필요한지를 결정하는 단계, 및 상기 모니터링과 상기 결정 단계에 대응하여 챔버 성분을 실행시키는 단계를 포함한다.
실시예1
본 발명의 일실시예에서, 본 발명에 따른 프로세스는 약 4:1 에스팩트 비율을 갖는 0.25μ비아를 갖는 웨이퍼 상에서 수행되었다. 패턴된 웨이퍼는 먼저 CVD 챔버 내로 삽입되었고 약 50Å에서 약 100Å의 SixNy가 CVD 기술을 이용하여 상기 웨이퍼 상에 증착되었다. 다음, 웨이퍼는 예비 세정 Ⅱ 챔버로 이동되었고 여기서 웨이퍼는 약 20 초 동안 아르곤/수소 식각 분위기에 놓였다. 약 300/300W의 RF/DC 파워가 사용되었다. 다음, 웨이퍼가 IMP 챔버로 이동하였고 여기서 약 400Å의 TaN이 웨이퍼 상에 증착되었다. 다음, 웨이퍼는 CVD 챔버에 삽입되었고 약 400Å의 CVD 구리가 웨팅(wetting) 층으로서 웨이퍼상에 증착되었다. 다음, 구리가 비아 매입을 완결하기 위해서 웨이퍼 상에 스퍼터되었다.
실시예2
본 발명의 또다른 실시예에서, 본 발명에 따른 또다른 프로세스 시퀀스가 웨이퍼 상에 금속 1 특징부, 베리어 층, 및 절연층이 형성되어 있는 웨이퍼 상에서 수행되었다. 먼저, 웨이퍼는 금속 1 특징부를 접속하기 위해 패턴되고 식각되었다. 다음, 웨이퍼가 CVD 챔버 내로 삽입되었고 약 50Å에서 약 100Å의 SixNy가 CVD 기술을 이용하여 상기 웨이퍼 상에 증착되었다. 다음, 웨이퍼는 예비 세정 Ⅱ 챔버로 이동되었고 여기서 웨이퍼는 약 20 초 동안 아르곤/수소 식각 분위기에 놓이게 되었다. 약 300/300W의 RF/DC 파워가 사용되었다. 다음, 웨이퍼가 CVD 챔버로 삽입되었고 여기서 비아가 구리로 선택적으로 매입되었다.
이상에서 본 발명의 실시예를 참조하여 설명하였으나, 본 발명의 다른 실시예들도 본 발명의 기본적인 사상을 벗어남 없이 가능하며, 본 발명의 범위는 특허청구범위에 의해 결정된다.

Claims (20)

  1. 집적회로의 절연층을 통해 홀을 매입하는 방법으로서,
    a) 상기 홀에 일반적으로 컨포말한(conformal) 첫번째 베리어 층을 증착하는 단계;
    b) 상기 홀의 하부에 형성된 상기 첫번재 베리어 층을 제거하는 단계;
    c) 고밀도 플라즈마 조건하에서 두번째 베리어 층을 스퍼터 증착하는 단계; 및
    d) 상기 홀에 금속 층을 증착하는 단계를 포함하는 것을 특징으로 하는 홀 매입 방법.
  2. 제 1 항에 있어서, 상기 베리어 층이 화학 기상 증착 기술을 이용하여 증착되는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 첫번째 베리어 층이 SixNy로 이루어지는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 상기 홀의 하부에 형성된 상기 첫번째 베리어 층 부분이 식각 기술을 사용하여 제거되는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 홀에 증착된 상기 금속층은 구리인 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 상기 금속 층이 화학 기상 증착 기술을 이용하여 증착되는 것을 특징으로 하는 방법.
  7. 제 5 항에 있어서, 상기 금속층이 물리 기상 증착 기술을 이용하여 증착되는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 상기 첫번째 베리어 층이 SixNy을 포함하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 상기 두번째 베리어 층이 Ta, TaN, TaSiN, TiSiN 및 이들의 조합으로 이루어진 군에서 선택된 물질을 포함하는 것을 특징으로 하는 방법.
  10. 제 9 항에 있어서, 상기 홀에 스퍼터 증착된 상기 금속 층이 구리인 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 두번째 베리어 층이 고밀도 플라즈마 조건하에서 스퍼터 증착되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 금속이 고밀도 플라즈마 조건하에서 스퍼터 증착되는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 상기 금속이 약 상온과 약 500℃ 사이의 온도로 가열되고 다음, 가압 분위기에 놓이는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 가압 분위기는 약 1000psi 내지 약 100,000psi의 범위 내인 것을 특징으로 하는 방법.
  15. 집적회로의 절연층을 통해 홀을 매입하는 방법으로서,
    a) 기저 절연층 상에 첫번째 베리어 층을 증착하는 단계;
    b) 상기 베리어 층과 상기 절연층을 통하여 하부에 놓이는 층이 노출되도록 홀을 형성하는 단계;
    c) 상기 홀에 일반적으로 컨포말한 두번째 베리어 층을 증착하는 단계;
    d) 상기 홀의 하부에 형성된 상기 베리어 층을 제거하는 단계; 및
    e) 상기 홀에 선택적으로 금속 층을 증착하는 단계를 포함하는 것을 특징으로 하는 홀 매입 방법.
  16. 제 15 항에 있어서, 상기 첫번째 베리어 층과 상기 두번째 베리어 층이 SixNy로 이루어지는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 상기 첫번째 베리어 층과 상기 두번째 베리어 층이 화학 기상 증착 기술을 이용하여 형성되는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 상기 홀의 하부에 형성된 상기 베리어 층이 스퍼터 식각 기술에 의해 제거되는 것을 특징으로 하는 방법.
  19. 기판을 이동시키기 위해서 적어도 일부분에 위치하는 로보트 어셈블리를 갖는 중앙 트랜스퍼 챔버;
    SixNy을 증착하기 위한 화학 기상 증착 챔버;
    탄탈을 포함하는 타겟을 가지며 상기 트랜스퍼 챔버에 접속된 고밀도 플라즈마 물리 기상 증착 챔버;
    고밀도 플라즈마를 얻을 수 있는 식각 챔버; 및
    구리를 포함하는 타겟을 가지며 상기 트랜스퍼 챔버에 접속된 고밀도 플라즈마 물리 기상 증착 챔버를 포함하는 것을 특징으로 하는 집적된 프로세싱 툴(tool).
  20. 제 5 항에 있어서, 상기 금속 층이 먼저, 화학 기상 증착 기술을 사용하여 웨팅 층을 증착하고 다음, 물리 기상 증착 기술을 사용하여 상기 홀을 매입함으로써 증착되는 것을 특징으로 하는 방법.
KR1019997010471A 1997-05-14 1998-05-13 구리 금속공정용 신뢰성 베리어 인테그레이션 KR20010012516A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US85611697A 1997-05-14 1997-05-14
US8/856,116 1997-05-14
PCT/US1998/009751 WO1998052219A1 (en) 1997-05-14 1998-05-13 Reliability barrier integration for cu metallisation

Publications (1)

Publication Number Publication Date
KR20010012516A true KR20010012516A (ko) 2001-02-15

Family

ID=25322886

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019997010471A KR20010012516A (ko) 1997-05-14 1998-05-13 구리 금속공정용 신뢰성 베리어 인테그레이션

Country Status (6)

Country Link
US (5) US7026238B2 (ko)
EP (1) EP0981832A1 (ko)
JP (1) JP2002511191A (ko)
KR (1) KR20010012516A (ko)
TW (1) TW417249B (ko)
WO (1) WO1998052219A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100537320B1 (ko) * 2001-11-14 2005-12-16 미츠비시 쥬고교 가부시키가이샤 배리어 금속막 제조 장치 및 배리어 금속막 제조 방법

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6174811B1 (en) 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040150103A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Sacrificial Metal Liner For Copper
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6987059B1 (en) 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060009030A1 (en) * 2004-07-08 2006-01-12 Texas Instruments Incorporated Novel barrier integration scheme for high-reliability vias
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
DE102006037722B4 (de) * 2005-08-06 2016-02-25 Samsung Electronics Co., Ltd. Verdrahtungsstruktur für einen integrierten Schaltkreis und Verfahren zur Herstellung derselben
KR100685902B1 (ko) * 2005-08-29 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 그 제조 방법
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20090078580A1 (en) * 2005-12-02 2009-03-26 Ulvac, Inc. Method for Forming Cu Film
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US7417321B2 (en) * 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100853098B1 (ko) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 이의 제조 방법
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
KR101515544B1 (ko) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 칼코제나이드 박막 형성방법
US20110117466A1 (en) 2008-05-30 2011-05-19 Michael Edward Badding Solid Oxide Fuel Cell Systems
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
CN102005411A (zh) * 2009-09-01 2011-04-06 中芯国际集成电路制造(上海)有限公司 阻挡层的形成方法
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9190319B2 (en) * 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
KR102296919B1 (ko) * 2015-01-16 2021-09-02 삼성디스플레이 주식회사 박막봉지 제조장치 및 박막봉지 제조방법
JP2018174451A (ja) * 2017-03-31 2018-11-08 ルネサスエレクトロニクス株式会社 通信システム、バス負荷監視装置、バス負荷監視方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI773839B (zh) * 2017-10-14 2022-08-11 美商應用材料股份有限公司 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
EP3707759A1 (en) * 2017-11-07 2020-09-16 Everspin Technologies, Inc. Angled surface removal process and structure relating thereto
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210028324A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 반도체 소자
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US185370A (en) * 1876-12-12 Improvement in bungs and bushes
US29958A (en) * 1860-09-11 Brick-mold
US87520A (en) * 1869-03-02 Improved rocking-chair
US3607384A (en) 1968-07-11 1971-09-21 Western Electric Co Thin-film resistors having positive resistivity profiles
US4169032A (en) 1978-05-24 1979-09-25 International Business Machines Corporation Method of making a thin film thermal print head
DE3063506D1 (en) 1979-08-31 1983-07-07 Fujitsu Ltd A tantalum thin film capacitor and process for producing the same
US4419202A (en) * 1980-12-22 1983-12-06 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Metal coatings
US4491509A (en) 1984-03-09 1985-01-01 At&T Technologies, Inc. Methods of and apparatus for sputtering material onto a substrate
US4760369A (en) 1985-08-23 1988-07-26 Texas Instruments Incorporated Thin film resistor and method
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4782380A (en) 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4962060A (en) * 1987-03-10 1990-10-09 Advanced Micro Devices, Inc. Making a high speed interconnect system with refractory non-dogbone contacts and an active electromigration suppression mechanism
JP2602276B2 (ja) 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH05179437A (ja) 1991-01-18 1993-07-20 Citizen Watch Co Ltd タンタル膜の形成方法
JPH0529254A (ja) * 1991-07-24 1993-02-05 Sony Corp 配線形成方法
JP2785919B2 (ja) * 1991-07-26 1998-08-13 ローム株式会社 絶縁層の上に成長層を有する半導体装置の製造方法
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US5613296A (en) * 1995-04-13 1997-03-25 Texas Instruments Incorporated Method for concurrent formation of contact and via holes
US5534460A (en) * 1995-04-27 1996-07-09 Vanguard International Semiconductor Corp. Optimized contact plug process
US5972178A (en) 1995-06-07 1999-10-26 Applied Materials, Inc. Continuous process for forming improved titanium nitride barrier layers
US5858184A (en) 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
JP3714995B2 (ja) * 1995-07-05 2005-11-09 シャープ株式会社 半導体装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5565074A (en) * 1995-07-27 1996-10-15 Applied Materials, Inc. Plasma reactor with a segmented balanced electrode for sputtering process materials from a target surface
KR0175030B1 (ko) * 1995-12-07 1999-04-01 김광호 반도체 소자의 고내열 금속 배선 구조 및 그 형성 방법
KR0179795B1 (ko) 1995-12-28 1999-04-15 문정환 이층 구조의 Cu 확산방지막 형성방법
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure
SG53005A1 (en) * 1996-07-03 1998-09-28 Novellus Systems Inc Method for depositing substituted fluorcarbon polymeric layers
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
TW402778B (en) 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
JPH1041389A (ja) * 1996-07-24 1998-02-13 Sony Corp 半導体装置の製造方法
KR100200739B1 (ko) 1996-10-16 1999-06-15 윤종용 장벽금속막 형성방법
US6093639A (en) * 1996-10-30 2000-07-25 United Microelectronics Corp. Process for making contact plug
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6164138A (en) * 1997-04-15 2000-12-26 Dresser Industries, Inc. Self aligning dial for instrument gauge
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6139699A (en) 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6028003A (en) 1997-07-03 2000-02-22 Motorola, Inc. Method of forming an interconnect structure with a graded composition using a nitrided target
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
JP3425853B2 (ja) * 1997-08-29 2003-07-14 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
US5972179A (en) 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6229174B1 (en) * 1997-12-08 2001-05-08 Micron Technology, Inc. Contact structure for memory device
US6002174A (en) 1997-12-31 1999-12-14 Micron Technology, Inc. Barrier materials for semiconductor devices
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material
US6372301B1 (en) 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
TW413896B (en) * 1999-01-06 2000-12-01 United Microelectronics Corp Manufacturing method for dual damascene structure
JP2002534807A (ja) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド フィーチャ表面カバレッジの改善を促進する銅シード層の堆積方法
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6164128A (en) * 1999-10-13 2000-12-26 Santa Cruz; Cathy D. Apparatus, method and formula relating to total-wind statistics
US6200433B1 (en) * 1999-11-01 2001-03-13 Applied Materials, Inc. IMP technology with heavy gas sputtering
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
FR2808941B1 (fr) * 2000-05-12 2002-08-16 St Microelectronics Sa Validation de la presence d'un transpondeur electromagnetique dans le champ d'un lecteur a demodulation d'amplitude
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
JP3967879B2 (ja) * 2000-11-16 2007-08-29 株式会社ルネサステクノロジ 銅めっき液及びそれを用いた半導体集積回路装置の製造方法
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100537320B1 (ko) * 2001-11-14 2005-12-16 미츠비시 쥬고교 가부시키가이샤 배리어 금속막 제조 장치 및 배리어 금속막 제조 방법

Also Published As

Publication number Publication date
US20030013297A1 (en) 2003-01-16
US7026238B2 (en) 2006-04-11
TW417249B (en) 2001-01-01
US20030017695A1 (en) 2003-01-23
EP0981832A1 (en) 2000-03-01
JP2002511191A (ja) 2002-04-09
US20070151861A1 (en) 2007-07-05
US20020060363A1 (en) 2002-05-23
US20040209460A1 (en) 2004-10-21
WO1998052219A1 (en) 1998-11-19

Similar Documents

Publication Publication Date Title
KR20010012516A (ko) 구리 금속공정용 신뢰성 베리어 인테그레이션
US7416979B2 (en) Deposition methods for barrier and tungsten materials
KR100743330B1 (ko) 알루미늄 평탄화를 위한 배리어 증착
US6740585B2 (en) Barrier formation using novel sputter deposition method with PVD, CVD, or ALD
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
KR100442023B1 (ko) 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
US6045666A (en) Aluminum hole filling method using ionized metal adhesion layer
US7820020B2 (en) Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US8858763B1 (en) Apparatus and methods for deposition and/or etch selectivity
US20060029745A1 (en) High throughput ILD fill process for high aspect ratio gap fill
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
JP2008500456A (ja) プラズマ処理の方法及び装置
KR19980070902A (ko) 저온 통합된 금속화 방법 및 그 장치
KR20010052610A (ko) 엑스-시츄 콘택 충진을 위한 플라즈마 처리
KR100501460B1 (ko) 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법
JPH1154512A (ja) 超薄ニュークリエーション層を用いた集積cvd/pvd−alの平坦化
US5227337A (en) Interconnection forming method
US6448657B1 (en) Structure for reducing junction spiking through a wall surface of an overetched contact via
EP0856593A1 (en) A method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
JPH09120991A (ja) 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
US20020192948A1 (en) Integrated barrier layer structure for copper contact level metallization
JP2024508912A (ja) サブトラクティブ金属及びサブトラクティブ金属半導体
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid