JPH1154512A - 超薄ニュークリエーション層を用いた集積cvd/pvd−alの平坦化 - Google Patents

超薄ニュークリエーション層を用いた集積cvd/pvd−alの平坦化

Info

Publication number
JPH1154512A
JPH1154512A JP10139100A JP13910098A JPH1154512A JP H1154512 A JPH1154512 A JP H1154512A JP 10139100 A JP10139100 A JP 10139100A JP 13910098 A JP13910098 A JP 13910098A JP H1154512 A JPH1154512 A JP H1154512A
Authority
JP
Japan
Prior art keywords
layer
substrate
chamber
depositing
nucleation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10139100A
Other languages
English (en)
Inventor
Liang-Yuh Chen
チャン リャン−ユー
Mehul Naik
ナイク メフル
Ted Guo
グオ テッド
Roderick C Mosely
シー. モーズリー ロデリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1154512A publication Critical patent/JPH1154512A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 高アスペクト比の小さなフィーチャサイズの
用途での相互接続部を形成する方法と装置を提供する。 【解決手段】 本発明は、後続の物理気相堆積用の濡れ
層を堆積して相互接続部を充填する方法と装置を提供す
る。本発明の濡れ層は、CVDアルミニウム(Al)等
の、CVD或いは電気めっきの何れか一方を利用して堆
積される金属層である。濡れ層は、ニュークリエーショ
ン層として 層で示される超薄層を用いて核形成され
る。 層は、Ti、TiN、Al、Ti/TiN、T
a、TaN、Cu、TDMATのフラッシュ等を含むこ
とが好ましい。 層は、PVD又はCVD、好ましくは
PVD技術を利用して堆積され、膜品質とフィーチャ内
の配向とを改良できる。従来の知識に反して、 層は、
CVD濡れ層の成長の核を形成するために連続している
必要はない。次いで、PVD堆積金属が低温で濡れ層に
堆積されて相互接続部が充填される。

Description

【発明の詳細な説明】
【0001】
【発明の分野】本発明は、高アスペクト比を有する小さ
な(サブクォータミクロン)フィーチャ用の集積プラグ
とワイヤの相互接続部を形成するための装置と方法に関
する。更に詳細には、本発明は金属相互接続部を形成す
るためのインサイチュメタライゼーションシーケンスを
提供するものであり、連続した濡れ層の堆積の核を形成
して、次にその上にバイアの充填を行うことを含む。
【0002】
【発明の背景】サブハーフミクロン多層メタライゼーシ
ョンは、次世代の大規模集積回路(「VLSI」)の鍵
となる技術の一つである。この技術の核心である多層相
互接続部では、プラグ及び他の相互接続部等の高アスペ
クト比フィーチャの平坦化が要求される。これら相互接
続部を高い信頼性で形成することは、VLSIの成功
と、個々の基板及びダイ上の回路密度と品質を向上させ
るための不断の努力にとって非常に重要である。
【0003】従来の化学気相堆積(CVD)技術と物理
気相堆積(PVD)技術は、基板に形成されたコンタク
トホールやバイアの中に導電材料を堆積させるために使
用されている。従来プロセスは一つの問題を有してお
り、それはコンタクトホール或いはバイアが、高アスペ
クト比、即ち、ホールの幅又は直径に対する高さの比が
1を超えることが多いという理由で生じるものである。
ホールのアスペクト比は、技術の進歩が、より接近した
フィーチャを産出するにつれて高くなってきている。
【0004】図1を参照すると、基板10は、その上に
ある例えば二酸化シリコン層や窒化シリコン層等の、電
気絶縁層又は誘電体層12の内部に形成されたホール1
1を含む。高アスペクト比のホール11中に均一な金属
含有層を堆積することは困難である。その理由は、金属
含有層がしばしばホールの側壁14に堆積して、ホール
の幅を横断し、最終的には、ホールが完全に充填される
前にホールの幅を覆ってしまうため、金属含有材料内に
ボイドや不連続部を形成するからである。その後、高い
移動度の金属原子がボイドを取り囲み、それによって原
子が拡散され、ボイドの表面積を最小化して、図1に示
すような円形状のボイドが形成される。これらのボイド
や不連続部は、不良で信頼性に欠ける電気接点をもたら
す。
【0005】バイア内にボイドが生成する可能性を低減
するのに用いられる一つの方法は、金属を高温で「平坦
化する」ことである。基板上に連続濡れ層を形成するこ
とは、高温での平坦化を成功させる鍵である。コンフォ
ーマルな(形状追従性を有する、conformal)アルミニ
ウム薄膜が、連続した物理的気相堆積と高温(≧ 35
0℃)で実施される平坦化技術とにとって良好な濡れ層
であることが発見されている。この発見は、1996年
11月21日に出願され、アプライド マテリアルズ
インコーポレイテッドに通常に譲渡された、「低温集積
メタライゼーションプロセスと装置」という発明の名称
の、同時係属中の米国特許出願第08/561,605
号明細書に開示されている。その出願で開示された一つ
の方法は、化学気相堆積技術を利用して堆積した濡れ
層、即ち平坦化濡れ層としてのアルミニウム層を利用す
ることである。本発明以前は、バイア内に薄いコンフォ
ーマルな濡れ層を堆積するためには、コンフォーマルな
ニュークリエーション層を最初に堆積する必要があると
考えられていた。薄いニュークリエーション層の利用
は、アプライド マテリアルズ インコーポレイテッド
に通常に譲渡された、「ブランケット選択CVDアルミ
ニウム堆積のための単一ステッププロセス」という発明
の名称の米国特許出願第08/620,405号明細書
と、「CVDアルミニウムのブランケット選択堆積及び
自己整合超薄層を使用した反射率改良」という発明の名
称の第08/622,941号明細書と、「ブランケッ
ト選択CVD−Al堆積のための単一ステッププロセ
ス」という発明の名称の第08/561,605号明細
書に開示されている。
【0006】Al相互接続部に係わるもう一つの問題
は、Al堆積に先だってTiが下地層として堆積された
場所でのTiAl3の形成である。TiはAlと結合し
て絶縁体であるTiAl3を形成する性向があるので、
相互接続部の性能を悪くする。TiAl3の形成を阻止
する一つの解決策は、Ti層の堆積後にTiN層を堆積
させることである。これによりAlとの結合に利用可能
なTi量が減少する。この順序が、いくつかの用途では
可能性のある解決策をもたらす一方、フィーチャサイズ
が小さくなるとともに、何れの下地層の厚さも低減する
必要性が高まっている。
【0007】このように、堆積した材料内にボイドや欠
陥を形成することなく、基板内の高アスペクト比のコン
タクトホールとバイアを充填するプロセスに対するニー
ズがある。堆積プロセスにとって、特定の結晶面内に高
度に配向された粒子を堆積することも望ましい。
【0008】
【発明の概要】本発明は、高アスペクト比を有している
であろう(クォータミクロン幅以下のような)小さなフ
ィーチャサイズに適応される相互接続部を形成するため
の方法と装置を提供する。一般的に、本発明は、コンフ
ォーマルな濡れ層が上に形成され得る、不連続で薄いニ
ュークリエーション層を堆積するための方法と装置を提
供する。次いで、バイアは充填されて相互接続部が完成
する。濡れ層は、上に堆積される材料の流れを容易にす
ることによって、バイア充填を向上させることが分かっ
ている。
【0009】本発明の一局面でニュークリエーション層
は、約数個の原子から約数100オングストロームまで
の不連続な薄層からなり、以後、これを 層と表示す
る。濡れ層は、化学気相堆積技術又は電気めっき技術を
利用して堆積されるアルミニウム(Al)層や銅(C
u)層等の金属層が好ましい。 層は、Ti、TiN、
Al、Ti/TiN、Ta、TaN、Cu、又はテトラ
カスジメチルアミノチタン(TDMAT)のフラッシュ
(flash)を含むことが好ましい。 層は、PVD技術
や CVD技術、好ましくは PVD技術を利用して堆積
されることができ、結果として得られるフィーチャの膜
品質と結晶配向が改良される。現行の慣例に反し、我々
は、濡れ層の成長の核となるために、 層が全体的にコ
ンフォーマルで連続的である必要がないことを発見した
ので、より広範囲なプロセスの可能性が提供されて、C
VD/PVD集積技術の能力は、より小さなフィーチャ
にまで広げられた。
【0010】本発明の他の局面で 層は、連続したライ
ナ膜に堆積された膜よりも優れた構造を有する、高度に
配向された膜の形成を促進する。 層は、 層上に堆積
中の膜内で自己整合(self-aligning)効果をも産み出
すことによって、膜の配向と形態(morphology)を促進
する。加えて、TiN又はTi等の薄いライナを使用す
ることによって、TiとAlの相互作用が阻止され或い
は最少化されて、もってデバイスの性能を制限するTi
Al3の形成が低減される。
【0011】更なる他の局面で本発明は、コンフォーマ
ルな濡れ層が上に堆積される膜であってその濡れ層上の
フィーチャ内に堆積される材料の流れを向上する薄いニ
ュークリエーション膜を最初に堆積することによって、
相互接続部を形成するプロセスシステム操作用制御シス
テムを提供する。コンピュータ製品は、コンピュータ読
取可能なプログラムコード手段を有する、コンピュータ
使用可能媒体を備える。このコンピュータコード手段
は、(a)基板を、1以上のチャンバ内に位置決めする
ための基板位置決めコードと、(b)ヒータを選択され
たチャンバ内で操作するためのヒータ制御コードと、
(c)プロセスを行わせるためのプロセスガス制御装置
と、を備えるものであり、このプロセスは、(i) P
VDチャンバ或いはCVDチャンバ内で、薄いニューク
リエーション膜を堆積するステップと、(ii) CV
Dチャンバ内で、1以上の堆積ガスをプロセス領域に導
入して、金属含有濡れ層を堆積するステップと、(ii
i) PVDチャンバ内で、スパッタリングガスを導入
して、内部に配置された金属含有材料が金属含有膜を堆
積するようにするステップと、を含む。
【0012】本発明の上記の特徴、利点、及び目的が達
成されて詳細にわたって理解できるような態様で、上記
で簡単に要約された本発明の説明を、添付図面に示した
実施形態を参照して、より詳しく説明する。
【0013】しかし、添付図面は本発明の典型的な実施
形態のみを図示したものであり、従って、その範囲を限
定するものと見なすべきではない。何故なら、本発明は
他の等しく効果的な実施形態も許容できるからである。
【0014】
【実施形態の詳細な説明】本発明は、通常、高アスペク
ト比を有するクォータミクロン幅等の小さなフィーチャ
サイズのプラグ及び相互接続部を形成するための方法と
装置を提供する。一般に、本発明は、不連続のニューク
リエーション膜上に、一般に連続した、又はコンフォー
マルな、 層として表わす濡れ層を成長させることによ
って、プラグや相互接続部を形成するプロセスを提供す
る。次に、金属膜が、好ましくは低温、即ち≦380℃
で濡れ層上に堆積され、プラグが充填され、相互接続部
が形成される。
【0015】本発明の一局面では、Ti、TiN、A
l、Ti/TiN、Ta、TaN、Cu、TDMATの
フラッシュ、又は同様なものを含む超薄 層が、パター
ン化された基板表面上に堆積されてニュークリエーショ
ン膜が形成される。 層は、PVD又はCVD技術、好
ましくはPVD技術を使用して堆積されて、上に高度に
配向された膜が成長可能な自己整合層が提供される。現
行の慣例に反し、 層は、濡れ層成長の核を形成するた
めに、全体的に連続している必要も、或いはコンフォー
マルな必要もない。次に、アルミニウム(Al)、銅
(Cu)、又は他の導体材料等の濡れ層を、化学気相堆
積技術又は電気めっきを利用して堆積させ、ほぼコンフ
ォーマルな濡れ層を提供する。次に、Al又はCu等の
金属層を、好ましくは物理気相堆積技術を使用して、低
温、即ち≦380℃で濡れ層上に堆積させる。しかし、
当該分野で既知の化学気相堆積、電気めっき、又は他の
金属堆積の技術を使用してもよい。
【0016】ウォーム(温、warm)金属平坦化として共
通に引用されるプロセスで、連続した濡れ層は、良好な
コンフォーマルカバレージを達成するための鍵である。
本発明者は、AlやCu等の連続した金属層が、Ti、
TiN、Al、Ti/TiN、Ta、TaN、Cu、T
DMATのフラッシュ、又は同様なもの等の好ましいニ
ュークリエーション材料でできた単分子層上で成長する
ことを発見している。本発明の一局面で、 層はバイア
内側の不連続膜であって、CVD堆積や電気めっきの核
を形成し、それによって、より幅の広いプロセスの機会
が提供され、連続したニュークリエーション層は必要な
くなるので集積技術の可能性が広がる。フィーチャサイ
ズが縮小するにつれて金属で充填すべき面積も縮小し、
金属堆積の核を形成するために、プロセスシーケンスで
はより多くの層が必要とされる。CVD−AlやCu等
の薄くて連続した金属膜は、「低温集積メタライゼーシ
ョンプロセスと装置」という発明の名称の、アプライド
マテリアルズ インコーポレイテッドに通常に譲渡さ
れた、同時系属中の米国特許出願第08/561,60
5号明細書に開示されているように良好な濡れ層であっ
て、低温(≦380℃)堆積プロセスをもたらすウォー
ムPVD金属堆積で使用される充填や流動プロセスを支
援することが、早くから発見されている。小さなフィー
チャサイズでは厚いニュークリエーション層が、相互接
続部に対する開口部に集中する可能性が高く、或いは相
互接続部の側壁の堆積材料が集中する可能性が高く、相
互接続部内にボイドが残る。
【0017】図2〜図4は、基板に形成された本発明の
シーケンシャルステップを行って、基板21上に形成さ
れたSiO2等のパターン化された誘電体20の断面図
である。本発明の一局面によれば、薄い 層22が基板
のフィールド上とバイア26内に堆積される。従来の知
識に反し、不連続の 層によって、連続してコンフォー
マルな濡れ層の堆積の核が形成され、PVD技術を利用
して堆積されることができ、最小厚さで膜品質が改良さ
れる。 層は、Ti、TiN、Ti/TiN、Al、T
a、TaN、Cu、TDMATのフラッシュ、又は当該
分野では既知の他のニュークリエーション材料からなる
群から選択されるが、薄いPVD−Cu層であることが
好ましい。Cuを適用する際、及びコンタクトを適用す
る際に、下地層がシリコン又はドープされたシリコンで
ある場合、TiN、Ta、又はTaN等の連続バリヤ層
を、ニュークリエーション層の堆積に先立って堆積さ
せ、Cu、Al、又は他の金属の下地層内への拡散を防
ぐことが好ましい。バリヤ層は、厚さが約50〜200
オングストロームであることが好ましい。
【0018】次に、熱CVDプロセスや電気めっき等の
CVD技術を利用して堆積されたAl層やCu層等の薄
い濡れ層28が、フィールド上とバイア内とに堆積され
て、高アスペクト比を有する可能性のある小さな開口部
内に、良好なボトムカバレージと連続したステップカバ
レージが提供される。次に、PVD技術やCVD技術、
好ましくはウォームPVD−Al層やCu層30の堆積
等のPVD技術を利用してプラグが充填され、ボイドが
なく低抵抗率の相互接続部が提供される。PVD技術
も、構造体のフィールド上に平坦化をもたらすウォーム
(≦380℃)金属堆積プロセスであることが好まし
い。
【0019】本発明は、少なくとも以下の利点を提供す
る。第1に、超薄の不連続Tiニュークリエーション層
を利用してTiAl3の形成が最小化されるか、又は、
薄いPVD−TiN膜、薄いCVD−TiN膜(即ち約
250〜500オングストローム)、Ti上の薄いCV
D−TiN膜(即ち約5〜50オングストローム)、又
はニュークリエーション層としての TDMATフラッ
シュを利用して完全に除去されることである。超薄Ti
ニュークリエーション層は、Al等の金属との反応に使
用可能なTiの量を低減し、もってTiAl3の形成を
低減する。ニュークリエーション層として薄い不連続T
iN膜が使用されると、TiをNとが結合されて、Ti
Al3形成の可能性が更に減少し、もってTiのAlと
のいかなる反応もなくなる。第2に、ニュークリエーシ
ョン層として PVD−Ti及び/又はTiNを使用す
ると、AlやCu等のCVD金属用のニュークリエーシ
ョン層を堆積するためのCVDチャンバを必要としな
い。加えて、薄膜堆積にはPVD技術が好ましいであろ
う。というのは、結果として得られる膜は、通常、他の
膜よりも純度が高くて、PVD技術はコストが安いから
である。第3に、本発明のプロセスは、非常に小さなフ
ィーチャサイズにまで拡大できることであって、その理
由の一つは、濡れ層の堆積の核形成には連続的ニューク
リエーション層が必要とされないからであり、特にTi
又はTiNの高密度プラズマ(HDP)を用いてニュー
クリエーション層を堆積する場合は、いくつかのHOP
プロセスでの側壁カバレッジが最少になる可能性がある
からである。Tiは、通常、最初に堆積されて、バイア
内で良好なボトムインターフェースを確保し、バイア内
に存在するかもしれない生来の酸化物の影響を低減す
る。TiNは、特にAlに対して良好なニュークリエー
ション膜を提供する。
【0020】本発明を、Alプラグと相互接続部を形成
するための方法と装置に関連してより具体的に以下に説
明する。しかし、本発明が、以下に述べる特定の材料や
プロセスに限定されないことに注意すべきである。これ
らの材料を堆積させるために使用される、類似の特性と
プロセスを有する他の材料を、本発明の趣旨と範囲に従
って役立つように使用してもよい。先ず、約数個の原子
から約200オングストロームまでの薄いニュークリエ
ーション層22が、通常は、誘電体層を露出し、パター
ン化された基板上に堆積される。ニュークリエーション
層22は、Ti/TiNを含むことが好ましいが、T
i、TiN、Al、TDMATのフラッシュ(即ちウェ
ーハ表面をTDMATに露出させること)、又はこれら
の組合わせを含んでもよい。材料が、CVD技術やPV
D技術、好ましくはPVD技術を用いて堆積され、その
上に逐次堆積される膜の品質が向上されるであろう。標
準の、平行化された、又はイオン金属のプラズマ(IM
P即ち高密度プラズマ)等のPVD技術が利用できる。
IMPは、高アスペクト比の非常に小さいフィーチャ内
で良好なボトムカバレージを提供する。好ましいニュー
クリエーション層のシーケンスは、単分子層から約20
0オングストローム近傍までのPVD−Tiと、後続す
るその上への単分子層から約200オングストローム近
傍までのTiNとの堆積を含み、合計の厚さは約400
オングストロームまでである。このニュークリエーショ
ン層は連続していなくてもよい。即ち、パターン化され
た全表面が、ニュークリエーション材料で覆われている
必要はない。薄い不連続ニュークリエーション層が、非
常に小さなバイアの充填を可能にする。
【0021】次に、前駆体ガスとしてDMAHの熱分解
を用いて、CVD−Al層28がニュークリエーション
層上に堆積される。好ましくは約100〜2000オン
グストローム(バイアサイズによる)までのCVD−A
lが、パターン化された表面上にコンフォーマルに堆積
されて、後続のバイア充填のための連続的濡れ層28が
提供される。
【0022】次に、PVD−Al層30や他の合金が、
ウォームPVD技術を用いて約380℃未満の基板温度
で堆積されることによって、ウォームAlが基板に堆積
され、次にバイアに流入される。PVDウォームAlプ
ロセスは、380℃未満の基板温度で実施される低温堆
積プロセスであることが好ましい。PVDプロセスは、
約250〜500℃の温度に基板を加熱することによっ
て実施される。約0.2〜10mTorrまでの圧力が
維持されて、アルゴン等の希ガスが、約5〜80scc
mまでの流量で導入される。基板支持体とチャンバ壁が
接地される一方で、約100W〜20KWのDCバイア
ス電力がターゲットに印加される。アルミニウムターゲ
ットは、約3000〜600,000Wsの間でスパッタ
される。
【0023】AlCu膜や他のドープ材料を、結果とし
て得られた金属層上に堆積して、Cuや他のドーパント
の、金属層全体にわたる移動を可能にするようにしても
よい。本明細書で記載したプロセスシーケンスは、導電
材料の高度に配向した結晶層を、高アスペク比のコンタ
クトホール内と、(シリコン酸化物層、燐酸シリケート
ガラス層、又はホウ酸燐酸シリケートガラス(BPS
G)層等の)絶縁層を貫通してエッチングされたバイア
内とへ堆積させるのに特に適している。
【0024】CVD金属層の堆積に好ましい反応は、次
式に従ったDMAHの熱低減(thermal reduction)で
ある。
【0025】 6(CH3)2Al−H+3H2-------6Al+12CH4 (CH3)2Al−H------- Al+2(CH3)3Al+3H2 Alは、CVD金属層の好ましい材料の一つであるが、
銅(Cu)及び類似の他の金属も本発明の方法に従って
堆積は可能である。
【0026】TDMATフラッシュは、TDMATを約
10〜200sccm までの流量でチャンバ内に導入
することによって実行されるであろう。チャンバ圧力
は、約0.2〜2Torrに維持されることが好まし
い。ウェーハは、TDMATがチャンバに流入されてい
るときに、約数秒間から約2分間までの間、約20〜4
50℃の温度に維持される。
【0027】同時係属中で通常に譲渡された米国特許出
願第08/622,941号明細書に記述されている技
術を用いて、超薄ニュークリエーション層を基板上に堆
積して金属濡れ層のフィールド上への制御された堆積を
提供するとともに、後続のPVD金属層を含む、形態と
テクスチャが改良された堆積金属層が提供される。薄い
自己整合ニュークリエーション層22と24は、Ti、
TiN、Al、Cu、Si、Nb、Ta、TaN、ケイ
酸アルミニウム、シリカ、高アルミナ、及びそれらの組
合わせ等のニュークリエーション材料を、約20〜20
0Wsでスパッタリングすることにより堆積し、制御さ
れた速度と高度に配向された方法で、後続するCVD金
属のニュークリエーションが進行する基板のフィールド
上とバイア内にニュークリエーションサイトを形成する
ことができる。自己整合層は、単なる散乱された原子、
又は、厚さがわずか数オングストロームのニュークリエ
ーション層の薄いブランケットであることが好ましい。
【0028】平坦化された金属PVD層は、約150℃
を超えるプロセス温度、最も好ましくは約250℃を超
えて約380℃未満のプロセス温度で形成される。金属
膜の平坦化を達成するには、PVD金属膜が濡れCVD
金属膜の堆積直後に堆積されることが好ましい。約25
0℃を超える温度で形成されるPVD金属層の粒子成長
は、CVD金属堆積中に基板のフィールド上に形成され
たノジュール(nodule)又は他の欠陥を吸収する粒子成
長を示し、高度に配向された金属ブランケット層を形成
する。ウォームPVD金属層は、微量の銅や他のドーパ
ントを含んでいてもよい。
【0029】本発明のCVDプロセスを実施するのに適
したマルチチャンバ処理装置35の概要を図5に示す。
この装置は、カリフォルニア州サンタクララのアプライ
ドマテリアルズ インコーポレイテッドから市販されて
いる「ENDURA」システムである。ここに示す装置
35の具体的な実施形態は、半導体基板等の平面基板の
処理に適しており、本発明を説明するために提供されて
いるが、本発明の範囲を限定するために用いられるべき
ではない。通常、装置35は、相互接続部されたプロセ
スチャンバ、例えばCVD堆積チャンバ、PVD堆積チ
ャンバ、及び急速熱アニーリングチャンバの集団(クラ
スタ)を備えている。
【0030】装置35は、スパッタリング等のPVDプ
ロセスを実施するための、閉鎖された少なくとも一つの
PVD堆積チャンバ36を含む。PVDチャンバ36
は、スパッタリング材料製で基板に面するスパッタリン
グターゲット(図示せず)を備えている。ターゲット
は、チャンバから電気的に隔離されており、スパッタリ
ングプラズマを発生させるためのプロセス電極として働
く。スパッタリングプロセス中に、アルゴンやキセノン
等のスパッタリングガスが、チャンバ36に導入され
る。DCバイアスが、スパッタリングターゲットに印加
されており、チャンバ内で基板を支える支持体が電気的
に接地されている。チャンバ36内に結果的に生じる電
場は、スパッタリングガスをイオン化して、スパッタリ
ングプラズマを形成し、ターゲットをスパッタして、基
板上に材料を堆積させる。スパッタリングプロセスで
は、通常、スパッタリングターゲットに、DC電圧或い
はRF電圧を約100〜20,000ワット、より典型
的には約100〜10,000ワットの電力レベルで印
加することによってプラズマが発生される。
【0031】装置は、周囲を囲む側壁45と天井50を
有する CVD堆積チャンバ40(図6に図示)も備え
る。チャンバ40は、供給プロセスガスをチャンバに分
配させるためのプロセスガスディストリビュータ55を
備える。質量流量コントローラと空気式バルブを用い
て、堆積チャンバ40内へのプロセスガスの流量を制御
する。ガスディストリビュータ55は、通常、基板の上
方(図示)、又は基板の周縁部(図示せず)に取り付け
られている。支持体65が、堆積チャンバ40内で基板
を支持するために設けられている。基板は、チャンバ4
0の側壁45内の基板ローティング入口を通ってチャン
バ40に導入されて、支持体65上に載置される。支持
体65は、基板とガスディストリビュータ55との間の
間隙が調整できるように、支持体昇降ベローズ70によ
って昇降可能である。支持体65内の孔に挿入されるリ
フトフィンガを備えるリフトフィンガアセンブリ75を
使用して、支持体上に基板を昇降して、チャンバ40へ
の基板の搬入搬出を容易にすることができる。熱ヒータ
80がチャンバ内に設けられて、基板を急速加熱する。
基板の急速加熱と急速冷却は、処理スループットを増加
させること、そして、同一チャンバ65内で異なる温度
で操作される連続プロセス間のサイクルを速く行うこと
ができるようにするには好ましい。基板の温度は、一般
的に支持体65の温度から推定される。
【0032】基板は、穿孔された水平バリヤ板105上
方のプロセ領域95内で処理される。バリヤ板105
は、チャンバ40から使用済みプロセスガスを排気する
ために排気装置115と流体連通状態にある排気孔11
0を有する。通常の排気装置115は、約10mTor
rの最低真空を達成できるロータリベーン真空ポンプ
(図示せず)と、任意ではあるが副産物ガスを浄化する
ためのスクラバ装置を備える。チャンバ40内の圧力
は、基板側で検知されて、排気装置115内のスロット
ルバルブを調整することによって制御される。
【0033】プラズマ発生器116は、プラズマ強化化
学気相堆積プロセス用に、チャンバ40のプロセス領域
95内でプラズマを発生させるために設けられている。
プラズマ発生器116は、(i)RF電流を堆積チャン
バを取り巻く誘導コイル(図示せず)に印加することに
よって誘導的に、(ii)RF電流をチャンバ内のプロ
セス電極に印加することによって容量的に、又は(ii
i)チャンバ壁や他の電極を接地させて誘導的且つ容量
的に、プラズマを発生させることができる。約750〜
2000ワットまでの電力レベルでDC電流又はRF電
流を誘導コイル(図示せず)に印加して、堆積チャンバ
内にエネルギを誘導的に結合し、プロセス領域95内に
プラズマを発生させることができる。RF電流が使用さ
れる場合、RF電流の周波数は、通常、約400KHz
〜16MHZ、より一般的には約13.56MHZであ
る。任意ではあるが、通常、酸化アルミニウムや石英で
できているガス封じ込め器やプラズマフォーカスリング
(図示せず)を使用して、基板周りのプロセスガスやプ
ラズマの流れを封じ込めることができる。
【0034】無電解めっきを利用して銅を基板上に堆積
し、配線と相互接続部を形成することができる。先ず、
PVDやCVD法を利用して、パターン化された基板上
にバリヤ層を形成する。バリヤ層は、TiN、Ta、T
aN、AlO、WN、TiO、MgO、或いはTiSi
XY、WSiXY、TaSiXY等の3成分からなる材
料、又は当該分野で既知の他の材料からなるのが好まし
い。バリヤ層は、二酸化シリコン中や他の誘電体中への
Cuの拡散を防ぐ。加えて、バリヤ層は、ニュークリエ
ーション層として働き、バリヤ層上で無電解めっきプロ
セスにより銅が成長する。基板が、バリヤ層と上に形成
されたニュークリエーション層とを有すると、基板はめ
っき浴へと導入されて、そこで堆積が進行される。銅
は、テトラメチルアンモニウム水酸化物含有溶液から堆
積されることが好ましい。一つの無電解堆積溶液の成分
を以下に表1で示す。
【0035】
【表1】 TMAH含有溶液から堆積する銅は、1.7μΩcm程度
の低い抵抗を有する良質の薄膜を生じることが分かっ
た。この無電解めっき堆積プロセスは、Thin Solid Fil
m 262 (1995年)93〜103ページの、「U
LSIのための無電解銅堆積(Electroless Copper Dep
osition for ULSI)」と題する論文の中で、更に詳
しく説明されている。
【0036】膜を堆積するプロセスは、コンピュータプ
ログラム製品141を用いて実施され得る。この製品
は、メモリシステムに接続された中央演算処理装置(C
PU)を備える従来のコンピュータシステムで実行され
る。メモリシステムは、カリフォルニア州のSynergy Mi
crosystemsから市販されている68400マイクロプロ
セッサ等の周辺制御コンポーネントを有している。コン
ピュータプログラムコードは、例えば68000アセン
ブリ言語、C、C++、パスカル、フォートラン等の従
来のどのコンピュータ読取り可能プログラミング言語に
よっても書かれることができる。プログラムコードとし
ては、単一のファイル又は複数のファイルに入力されて
おり、従来のテキストエディタを使用して、コンピュー
タのメモリシステム等のコンピュータ使用可能媒体で具
現化又はストアされているものが好適である。入力され
たコードテキストが高級言語の場合、コードはコンパイ
ルされ、その結果生じたコンパイラコードは次に、コン
パイルされたウィンドウズライブラリルーチンのオブジ
ェクトコードとリンクする。システム使用者は、リンク
され且つコンパイルされたオブジェクトコードを実行す
るために、オブジェクトコードを呼び出し、そのコード
をコンピュターシステムによってメモリにロードさせ、
そのメモリからCPUにそのコードを読取らせてコード
を実行させ、プログラムで識別されたタスクを行わせ
る。
【0037】図7は、特定の実施形態に従った、システ
ムコントロールソフトウエア、コンピュータプログラム
141の階層的コントロール構造を示したブロック図で
ある。使用者は、プロセスセット番号及びプロセスチャ
ンバ番号を、プロセス選択サブルーチン142に入力す
る。プロセスセットは、特定のチャンバで特定のプロセ
スを実行するのに必要なプロセスパラメータの所定の組
合わせであり、予め決められたセット番号で識別され
る。プロセスは所望のプロセスチャンバを設定し、(i
i)所望のプロセスを実行するようにプロセスチャンバ
を操作するのに必要な所望のプロセスパラメータのセッ
トを設定する。特定のプロセスを行うためのプロセスパ
ラメータは、例えば、プロセスガスの組成及び流量、温
度、圧力、RFバイアスパワーレベル、磁場パワーレベ
ル、冷却ガス圧及びチャンバ壁温度等のプロセス条件と
関係している。
【0038】プロセスシーケンササブルーチン143
は、識別されたプロセスチャンバ及びプロセスパラメー
タのセットをプロセス選択サブルーチン142から読み
込むためと、多様なプロセスチャンバの制御操作のため
とのプログラムコードを含んでいる。多数の使用者がプ
ロセスセット番号及びプロセスチャンバ番号を入力する
ことができ、或いは一人の使用者が多数のプロセスセッ
ト番号及びプロセスチャンバ番号を入力することがで
き、シーケンササブルーチン143は、選択されたプロ
セスが所望のシーケンスでスケジュールされるように操
作する。好ましくは、シーケンササブルーチン143は
以下のステップを行うプログラムコードを含んでいる。
即ち、(i)プロセスチャンバの作動状況をモニタして
チャンバが使用されているか否かを決定するステップ
と、(ii)何のプロセスが使用されているチャンバ内
で行われているかを決定するステップと、(iii)実
行されるプロセスの型及びプロセスチャンバの空き状況
(availability)をベースにして所望のプロセスを実行
するステップとである。プロセスチャンバが使用可能か
をモニタする従来の方法はポーリングであった。シーケ
ンササブルーチン143は、どのプロセスが実行される
かをスケジュールするときに、どのプロセスを優先させ
るかといったスケジュールを決定するために、選択した
プロセスに対する、所望のプロセス状況と対比した使用
プロセスチャンバの現状況若しくは使用者が入力した各
々の特定のリクエストの「年代(age)」、又はシステ
ムプログラマが含めることを望む他の関連あるファクタ
を考慮するように設計されることができる。
【0039】シーケンササブルーチン143によって、
どのプロセスチャンバ及びプロセスセットの組合わせが
次に実行されるかが決定されると、シーケンササブルー
チン143は、特定のプロセスセットパラメータをチャ
ンバ管理サブルーチン144a〜cに渡してプロセスセ
ットが実行される。チャンバ管理サブルーチン144a
〜cは、複数の処理タスクを、シーケンササブルーチン
143によって決定されたプロセスセットに従って異な
ったプロセスチャンバ内で制御するものである。例え
ば、チャンバ管理サブルーチン144aは所望のプロセ
スチャンバ40内のスパッタ及びCVDプロセスの操作
を制御するプログラムコードを含んでいる。チャンバ管
理サブルーチン144は、また多様なチャンバ構成要素
サブルーチン或いはプログラムコードモジュールの実行
を制御し、それらのサブルーチンは、選択されたプロセ
スセットを実行するのに必要なチャンバ構成要素の操作
を制御する。チャンバ構成要素サブルーチンの例として
は、基板位置決めサブルーチン145、プロセスガス制
御サブルーチン83、圧力制御サブルーチン85、ヒー
タ制御サブルーチン87及びプラズマ制御サブルーチン
90がある。これらの異なったサブルーチンは、(i)
基板を温度範囲ΔTS内で温度TSに加熱するためと、
(ii)反応ガスをプロセス領域に導入してほぼ連続的
な絶縁層を基板のフィールド部分に堆積するためとのシ
ーディングプログラムコード手段及び、(i)基板を温
度範囲ΔTd内で体積温度Tdに維持するためと、(i
i)体積ガスをプロセス領域に導入してコンタクトホー
ル或いはバイア内にエピタキシャル成長層を形成するた
めとの堆積成長プログラムコード手段として機能する。
【0040】操作中に、チャンバ管理サブルーチン14
4aは、実行される特定のプロセスセットに従って、プ
ロセス構成要素サブルーチンを選択的にスケジュールす
るか又は呼び出す。チャンバ管理サブルーチン144a
は、シーケンササブルーチン143がどのプロセスチャ
ンバ15及びプロセスセットが次に実行されるかをスケ
ジュールしたのと同様にプロセス構成要素サブルーチン
をスケジュールする。通常、チャンバ管理サブルーチン
144aは、個々の構成要素をモニタするステップと、
実行されるプロセスセットのプロセスパラメータをベー
スにしてどの構成要素に操作が必要かを決定するステッ
プと、モニタステップ及び決定ステップに応答してチャ
ンバ構成要素サブルーチンを実行するステップとを含ん
でいる。
【0041】特定のチャンバ構成要素サブルーチンを説
明する。基板位置決めコード或いはサブルーチン145
はチャンバ構成要素を制御するプログラムコードを含ん
でおり、そのプログラムコードは基板をチャンバ支持体
65上にロードするためと、基板をチャンバ40内で望
ましい高さに持ち上げて基板とガスディストリビュータ
55との間の間隔を制御するため(これは任意事項であ
る)に用いられるものである。基板がプロセスチャンバ
15内にロードされると、基板支持体65は基板を受け
るように下げられ、その後支持体はチャンバ内で所望の
高さに持ち上げられる。操作中、チャンバ管理サブルー
チン144aから転送されたサポート高さに関するプロ
セスセットパラメータに応じて、基板位置決めサブルー
チン145は支持体65の移動を制御する。
【0042】プロセスガス制御サブルーチン146は、
プロセスガス組成及び流量を制御するプログラムコード
を有する。一般的に、各プロセスガスのプロセスガス供
給ラインは(i)自動的或いは手動で、チャンバ内への
プロセスガスの流れを遮断するために使用される安全遮
断バルブ(図示せず)と、(ii)ガス供給ラインを通
して特定のガスの流れを測定する質量流量コントローラ
(これも図示せず)とを備えている。有害なガスがプロ
セスで使用される場合は、複数の安全遮断バルブが各ガ
ス供給ラインに従来からの構成で配置される。プロセス
ガス制御サブルーチン146は、安全遮断バルブの開閉
位置を制御し、また所望のガス流量を得るために質量流
量コントローラの流量をランプ増減(ramps up/down)す
る。プロセスガス制御サブルーチン146は、全てのチ
ャンバ構成要素サブルーチンと同様にチャンバ管理サブ
ルーチン144aによって呼び出され、チャンバ管理サ
ブルーチンから所望のガス流量に関するプロセスパラメ
ータを受け取る。基本的に、プロセスガス流量制御サブ
ルーチン146は、ガス供給ラインを開けて、繰り返し
て(i)必要な質量流量コントローラを読取ること、
(ii)読取り値を、チャンバ管理サブルーチン144
aから受け取った所望のガス流量と比較すること、(i
ii)必要に応じてガス供給ラインの流量調整すること
の操作を行う。更に、プロセスガス制御サブルーチン1
46は、ガス流量を危険流量に対してモニタするステッ
プと、危険な状態が検出されたら安全遮断バルブを動作
させるステップとを含んでいる。
【0043】プロセスガス制御サブルーチン146は、
選好(preferential)フィールド成長モード或いは選択
(selective)成長モードにチャンバを操作するプログ
ラムコードによる堆積を含む。選好フィールド成長ステ
ージでは、反応ガスプログラムコード152によって反
応ガスが薄い絶縁膜を基板に形成するために必要な時間
だけチャンバ40内に流される。後の選択堆積成長ステ
ージでは、堆積ガスプログラムコード154によって、
堆積ガスが所望の選択成長層をコンタクトホール又はバ
イアとフィールドに成長させるためにチャンバ40内に
必要な時間流される。スパッタリングガスプログラムコ
ード156を、スパッタリングガスをPVDプロセスス
テップの実行中にPVDチャンバ36内に導入するため
に、提供することもできる。
【0044】プロセスガスは、ガス状或いは液体状前駆
物質から形成され得る。プロセスガスが液体前駆物質
(例えばジメチルアルミニウムハイドライド(DMA
H))から気化される場合は、プロセスガス制御サブル
ーチン146は、水素、アルゴン、ヘリウム等のキャリ
ヤガスをバブラアセンブリ内の液体前駆物質を通してバ
ブリングするステップを含むように書き込まれる。この
タイプのプロセスでは、プロセスガス制御サブルーチン
146はキャリヤガスの流れ、バブラ内の圧力及びバブ
ラ温度を、所望のプロセスガス流量を得るように調整す
る。上述したように、所望のプロセスガス流量はプロセ
スパラメータとしてプロセスガス制御サブルーチン14
6に転送される。更に、プロセスガス制御サブルーチン
146は、所望のプロセスガス流量を得るために必要な
キャアリヤガス流量、バブラ圧及びバブラ温度を、所定
のガス流量に対する必要な値を含んでいる記憶された表
にアクセスすることによって得るためのステップを含ん
でいる。必要な値が得られると、それに応じてキャリヤ
ガス流量、バブラ圧及びバブラ温度がモニタされて必要
な値と比較され、チャンバの排気装置115のスロット
ルバルブの開口部の大きさを調整することによってチャ
ンバ40内の圧力が調整される。スロットルバルブの開
口部の大きさは、全プロセスガス流、プロセスチャンバ
の大きさ及び排気装置115のポンピングの設定圧力に
対応して、チャンバ圧を所望のレベルに制御するように
設定されている。
【0045】圧力制御サブルーチン147が呼び出され
ると、所望の又は目標の圧力レベルが、チャンバ管理サ
ブルーチン144aからパラメータとして受入れられ
る。圧力制御サブルーチン147は、チャンバに連結さ
れた1以上の従来圧力計を読むことによってチャンバ1
5内の圧力を測定し、測定値を目標圧力と比較し、PI
D(比例、積分及び微分)値を目標圧力に対応させて記
憶圧力表から得て、スロットルバルブを圧力表から得ら
れたPID値に従って調整するように作動する。また、
圧力制御サブルーチン147は、開口部がチャンバ15
を所望の圧力に調整する特定の大きさになるようにスロ
ットルバルブを開閉するように書き込まれることもでき
る。
【0046】ヒータ制御サブルーチン148は、基板を
加熱するヒータ80の温度を制御するプログラムコード
を含んでいる。ヒータ制御サブルーチン148は、基板
が、所望のシーディング温度TSで維持されるシーディ
ングステージで温度範囲をΔTS内に操作するためのシ
ーディングステージ加熱プログラムコード158を含
む。通常、サブルーチン148は支持体の温度を、雰囲
気チャンバ温度から設定点温度にランプ上昇するように
プログラムされている。上記のように基板がシーディン
グ温度TSに到達したときに、シーディングガスをチャ
ンバに導入するようにプロセスガス制御サブルーチン1
46はプログラムされている。ヒータ制御サブルーチン
148は、基板を、エピタキシャル成長層をシーディン
グ層上に成長させるために好適な堆積温度Tdに、温度
範囲ΔTd内で急速加熱するためのエピタキシャル成長
加熱プログラムコード160を含んでいる。このステッ
プで、ヒータ制御サブルーチン148は、チャンバ管理
サブルーチン144aによって呼び出され、少なくとも
約50℃/分のランプ率温度パラメータを受け取る。
【0047】ヒータ制御サブルーチン148は、支持体
内に位置する熱電対の電圧出力を測定て温度を測定し、
測定温度を設定点温度と比較し、ヒータ80に加えられ
る電流を増減し、所望のランプ率或いは設定点温度を得
る。温度は、測定電圧から、ストアされた換算表で対応
する温度を調べることによって、或いは温度を四次の多
項式を用いて計算することによって得られる。放射式ラ
ンプがヒータ80として使用された場合、ヒータ制御サ
ブルーチン148は、ランプに加えられる電流のランプ
増減を徐々に制御し、もってランプの寿命及び信頼性を
増加する。加えて、プロセス安全コンプライアンスを検
出するように組込みフェールセーフモードを含めること
ができ、プロセスチャンバ40が適当に準備されていな
い場合、ヒータ80の操作を停止することができる。
【0048】プラズマ制御サブルーチン149は、化学
気相堆積モードでチャンバを操作中に堆積プラズマをチ
ャンバ内に形成するためのプログラムコードを含んでい
る。サブルーチン149は、チャンバ40内のプロセス
電極60、65に加えられるRFバイアス電圧パワーレ
ベルを設定し、また任意でチャンバ内で発生される磁場
のレベルを設定し、堆積プラズマを形成する。上記した
チャンバ構成要素サブルーチンと同様に、プラズマ制御
サブルーチン149はチャンバ管理サブルーチン144
aによって呼び出される。操作時にプラズマ状態149
は、プラズマ発生器116に加えられる「先の(forwar
d)」電力と、チャンバ40を通して流れた「反映(ref
lected)」電力の両方を読むステップを含む。過剰に高
い反映電力の読みは、プラズマが点火されていないこと
を示し、プラズマ制御サブルーチン149はプロセスを
再始動或いは停止する。読まれたパワーレベルは目標値
と比較され、電流はプラズマを制御するように調整さ
れ、正弦波電流を発生器に加え、チャンバ40内に回転
磁場を形成する。正弦波は所望の磁場を発生するために
必要であり、磁場強度に対応した正弦値のストアされた
表から得るか、或いは正弦式を用いて計算される。
【0049】
【実施例】本発明の一例を、PVDコヒーレント(cohe
rent)チタンチャンバ、PVD−TiNチャンバ、CV
D−Alチャンバ及びPVD−Alチャンバを有する、
アプライド マテリアルズ インコーポレイテッドから
入手可能な ENDURA(商標)プラットフォームを
使用して実施した。≧4:1のアスペクト比の0.30
μm 未満のバイアを有するシリコンウェーハが装置に
導入された。Coh-Tiチャンバ内でパターン化され
たウェーハ上に、約400オングストロームのチタンが
堆積された。Tiは、相互接続部の底部に形成された生
来の酸化物のためのゲッター材料として働く。次に、ウ
ェーハはTiNチャンバ内に移送されて、そこで150
オングストロームのTiNがニュークリエーション層と
して機能するためにTi層上に堆積された。次に、ウェ
ーハは、CVD−Alチャンバの中に移送されて、45
0オングストロームのAlがTiN上に堆積された。最
後に、ウェーハは、PVD−Alチャンバの中に移送さ
れて、2kWの電力を使用して5500オングストロー
ムのAlが堆積され、ウェーハ温度は約400℃であっ
た。電子顕微鏡写真では、良好なステップカバレージと
無ボイドのバイア充填が示されれた。
【0050】上述したものは、本発明の好ましい実施形
態に対して向けられたもので、他の、更なる本発明の実
施形態が、本発明の基本的範囲を逸脱することなく工夫
されてもよい。本発明の範囲は、特許請求の範囲によっ
て定められる。
【図面の簡単な説明】
【図1】ボイド、不連続部及び平坦面を有する基板内の
エッチングされたコンタクトホール内にある、不規則に
配向された微粒状の堆積層を示す従来技術の基板の部分
断面略図である。
【図2】基板上に堆積した薄いニュークリエーション層
を示す本発明によって処理された基板の部分断面略図で
ある。
【図3】基板に堆積したコンフォーマルな濡れ層を示す
図2の基板の部分断面略図である。
【図4】コンフォーマルな濡れ層に低温で堆積した金属
を示す図3の基板の部分断面略図である。
【図5】基板にCVD及びPVDプロセスを実施するた
めに適した集積マルチチャンバ装置の部分断面略図であ
る。
【図6】本発明のCVD堆積プロセスを実施するために
適したCVD堆積チャンバの部分断面略図である。
【図7】本発明のコンピュータプログラムの階層制御構
造を示す簡略化したブロックダイアグラムである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 メフル ナイク アメリカ合衆国, カリフォルニア州, サン ノゼ, ラ ロッサ サークル 1608 (72)発明者 テッド グオ アメリカ合衆国, カリフォルニア州, パロ アルト, タンランド ドライヴ 1079 エー. (72)発明者 ロデリック シー. モーズリー アメリカ合衆国, カリフォルニア州, プレザントン, ディアヴィラ アヴェニ ュー 4337

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 (a)基板を第1のプロセス領域に導入
    するステップと、(b)不連続ニュークリエーション層
    を前記基板に堆積するステップと、(c)前記基板を第
    2のプロセス領域に導入するステップと、(d)濡れ層
    を前記ニュークリエーション層に堆積するステップと、
    (e)前記基板を第3のプロセス領域に導入するステッ
    プと、(f)導体層を前記濡れ層上に堆積するステップ
    と、を含む基板を処理するための方法。
  2. 【請求項2】 ニュークリエーション層を堆積する前記
    ステップが、Ti、TiN、Ta、TaN、Al、C
    u、TDMAT又はそれらの組合わせからなる群から選
    択された層を堆積することを含む請求項1に記載の方
    法。
  3. 【請求項3】 前記ニュークリエーション層が、Ti、
    TiN、Ta、TaN、Al、Cu又はそれらの組合わ
    せからなる群から選択された層を物理気相堆積すること
    によって堆積される請求項2に記載の方法。
  4. 【請求項4】 前記濡れ層が、Al、Cu又はそれらの
    組合わせからなる群から選択された材料を含む請求項3
    に記載の方法。
  5. 【請求項5】 前記ニュークリエーション層が、Ti、
    TiN、Al、Cu、Si、Nb、Ta、TaN、ケイ
    酸アルミニウム、シリカ、高アルミナ及びそれらの組合
    わせからなる群から選択された材料を含む請求項1に記
    載の方法。
  6. 【請求項6】 導体層を堆積する前記ステップが、PV
    Dを用いて、ウォーム金属層を前記CVD導体層上に堆
    積することを含む請求項1に記載の方法。
  7. 【請求項7】 前記ウォーム金属層が、約250℃を超
    える温度で堆積される請求項6に記載の方法。
  8. 【請求項8】(a)基板を第1のプロセス領域に導入す
    ること、(b)ニュークリエーション層を前記基板の少
    なくとも一部分に堆積すること、(c)前記基板を第2
    のプロセス領域に導入すること、及び(d)濡れ層を前
    記ニュークリエーション層に堆積すること、を含む基板
    を処理するための方法。
  9. 【請求項9】 前記ニュークリエーション層が、T
    i、TiN、Al、Cu、Si、Nb、Ta、TaN、
    ケイ酸アルミニウム、シリカ、高アルミナ及びそれらの
    組合わせからなる群から選択された材料を含む請求項8
    に記載の方法。
  10. 【請求項10】 前記濡れ層が、Al、Cu又はそれ
    らの組合わせからなる群から選択された材料を含む請求
    項9に記載の方法。
  11. 【請求項11】 前記ニュークリエーション層が、数
    個の原子から約500オングストロームまでのTi原子
    と、数個の原子から約300オングストロームまでのT
    iN原子を含む請求項9に記載の方法。
  12. 【請求項12】 前記濡れ層が、Al、Cu及びこれ
    らの組合わせからなる群から選択された、約50〜50
    0オングストロームまでの材料を含む請求項11に記載
    の方法。
  13. 【請求項13】 前記濡れ層に導体層を堆積するステ
    ップを更に含む請求項9に記載の方法。
  14. 【請求項14】 導体層がウォーム金属層である請求項
    13に記載の方法。
  15. 【請求項15】 前記ウォーム金属層が、Al、Cu又
    はこれらの組合わせからなる群から選択された材料を含
    む請求項14に記載の方法。
  16. 【請求項16】 前記ウォーム金属層が、約250℃を
    超える温度で堆積される請求項15に記載の方法。
  17. 【請求項17】 実質的に平坦な高反射率の層を基板に
    堆積するようにプロセスチャンバを操作するためのコン
    ピュータプログラム製品であって、 前記製品が、内部に組み込まれたコンピュータ読取可能
    プログラムコード手段を有するコンピュータ使用可能媒
    体を含み、 前記コンピュータプログラムコード手段が、(a)前記
    プロセスチャンバ内に前記基板を位置決めするための基
    板位置決めコードと、(b)(i)前記基板が第1の低
    温域△TSの範囲内で温度TSに維持される絶縁ステー
    ジ、及び(ii)前記基板が第2の高温域△TDの範囲
    内で堆積温度Tdに維持される堆積ステージ、において
    ヒータを操作するためのヒータ制御コードと、(c)
    (i)PVD又はCVDチャンバ内で薄いニュークリエ
    ーション膜を堆積するステップ、(ii)CVDチャン
    バ内で、1以上の堆積ガスをプロセス領域に導入して金
    属含有濡れ層を堆積するステップ、及び(iii)PV
    Dチャンバ内で、内部に堆積された金属含有材料を有す
    るチャンバにスパッタリングガスを導入して金属含有膜
    を堆積するステップ、を有するプロセスを行わせるため
    のプロセスガス制御コードと、を含むコンピュータプロ
    グラム製品。
JP10139100A 1997-04-11 1998-04-13 超薄ニュークリエーション層を用いた集積cvd/pvd−alの平坦化 Pending JPH1154512A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/838839 1997-04-11
US08/838,839 US6139905A (en) 1997-04-11 1997-04-11 Integrated CVD/PVD Al planarization using ultra-thin nucleation layers

Publications (1)

Publication Number Publication Date
JPH1154512A true JPH1154512A (ja) 1999-02-26

Family

ID=25278181

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10139100A Pending JPH1154512A (ja) 1997-04-11 1998-04-13 超薄ニュークリエーション層を用いた集積cvd/pvd−alの平坦化

Country Status (5)

Country Link
US (1) US6139905A (ja)
EP (1) EP0871218A3 (ja)
JP (1) JPH1154512A (ja)
KR (1) KR100546466B1 (ja)
TW (1) TW374954B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8123861B2 (en) 1999-10-02 2012-02-28 Seed Layers Technology, LLC Apparatus for making interconnect seed layers and products
US9673090B2 (en) 1999-10-02 2017-06-06 Uri Cohen Seed layers for metallic interconnects

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077781A (en) * 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US6057236A (en) * 1998-06-26 2000-05-02 International Business Machines Corporation CVD/PVD method of filling structures using discontinuous CVD AL liner
US6351036B1 (en) * 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6465887B1 (en) * 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
KR100399066B1 (ko) * 2000-12-28 2003-09-26 주식회사 하이닉스반도체 반도체소자의 알루미늄 합금 박막 제조 방법
US20020092673A1 (en) * 2001-01-17 2002-07-18 International Business Machines Corporation Tungsten encapsulated copper interconnections using electroplating
KR100400248B1 (ko) 2001-04-06 2003-10-01 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
KR100501497B1 (ko) * 2002-04-08 2005-07-18 동부아남반도체 주식회사 하층부 인터컨넥션 공정의 모니터링 방법
US6619538B1 (en) * 2002-05-02 2003-09-16 Texas Instruments Incorporated Nickel plating process having controlled hydrogen concentration
JP4457587B2 (ja) * 2002-09-05 2010-04-28 セイコーエプソン株式会社 電子デバイス用基体の製造方法及び電子デバイスの製造方法
KR100515828B1 (ko) * 2002-11-25 2005-09-21 삼성에스디아이 주식회사 전도성 박막 제조 방법
US20050067295A1 (en) 2003-09-25 2005-03-31 Dory Thomas S. Deep via seed repair using electroless plating chemistry
US20070281456A1 (en) * 2006-05-30 2007-12-06 Hynix Semiconductor Inc. Method of forming line of semiconductor device
US20100326497A1 (en) * 2009-06-29 2010-12-30 The Regents Of The University Of California Highly efficient tandem polymer photovoltaic cells
KR101197776B1 (ko) 2010-12-27 2012-11-06 엘지이노텍 주식회사 와이어그리드편광자의 제조방법
KR20130104728A (ko) * 2012-03-15 2013-09-25 에스케이하이닉스 주식회사 반도체 칩 및 이를 갖는 적층 반도체 패키지
US8835248B2 (en) 2012-05-24 2014-09-16 Sandisk Technologies Inc. Method for forming metal wire
US9076785B2 (en) 2012-12-11 2015-07-07 Invensas Corporation Method and structures for via substrate repair and assembly
US10068181B1 (en) 2015-04-27 2018-09-04 Rigetti & Co, Inc. Microwave integrated quantum circuits with cap wafer and methods for making the same
US11121301B1 (en) 2017-06-19 2021-09-14 Rigetti & Co, Inc. Microwave integrated quantum circuits with cap wafers and their methods of manufacture

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS639925A (ja) * 1986-06-30 1988-01-16 Nec Corp 半導体装置の製造方法
JPS63160328A (ja) * 1986-12-24 1988-07-04 Mitsubishi Electric Corp 半導体装置の製造方法
US4784973A (en) * 1987-08-24 1988-11-15 Inmos Corporation Semiconductor contact silicide/nitride process with control for silicide thickness
US5240505A (en) * 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5032233A (en) * 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5147819A (en) * 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
JP2533414B2 (ja) * 1991-04-09 1996-09-11 三菱電機株式会社 半導体集積回路装置の配線接続構造およびその製造方法
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
EP0535864B1 (en) * 1991-09-30 1998-07-29 AT&T Corp. Fabrication of a conductive region in electronic devices
US5312774A (en) * 1991-12-05 1994-05-17 Sharp Kabushiki Kaisha Method for manufacturing a semiconductor device comprising titanium
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5240739A (en) * 1992-08-07 1993-08-31 Micron Technology Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
JPH07226387A (ja) * 1993-03-26 1995-08-22 Kawasaki Steel Corp 金属配線およびその形成方法
JPH0722339A (ja) * 1993-07-05 1995-01-24 Toshiba Corp 薄膜形成方法
US5585308A (en) * 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5565819A (en) * 1995-07-11 1996-10-15 Microchip Technology Incorporated Accurate RC oscillator having modified threshold voltages
US6120844A (en) * 1995-11-21 2000-09-19 Applied Materials, Inc. Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US5804251A (en) * 1995-12-29 1998-09-08 Intel Corporation Low temperature aluminum alloy plug technology
US5582881A (en) * 1996-02-16 1996-12-10 Advanced Micro Devices, Inc. Process for deposition of a Ti/TiN cap layer on aluminum metallization and apparatus
US5858873A (en) * 1997-03-12 1999-01-12 Lucent Technologies Inc. Integrated circuit having amorphous silicide layer in contacts and vias and method of manufacture thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8123861B2 (en) 1999-10-02 2012-02-28 Seed Layers Technology, LLC Apparatus for making interconnect seed layers and products
US8586471B2 (en) 1999-10-02 2013-11-19 Uri Cohen Seed layers for metallic interconnects and products
US9673090B2 (en) 1999-10-02 2017-06-06 Uri Cohen Seed layers for metallic interconnects
US10096547B2 (en) 1999-10-02 2018-10-09 Uri Cohen Metallic interconnects products

Also Published As

Publication number Publication date
EP0871218A3 (en) 1999-07-07
US6139905A (en) 2000-10-31
TW374954B (en) 1999-11-21
EP0871218A2 (en) 1998-10-14
KR100546466B1 (ko) 2006-03-23
KR19980081270A (ko) 1998-11-25

Similar Documents

Publication Publication Date Title
US6139905A (en) Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US6139697A (en) Low temperature integrated via and trench fill process and apparatus
US6001420A (en) Semi-selective chemical vapor deposition
US6080665A (en) Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US20030013297A1 (en) Reliability barrier integration for Cu application
US6936906B2 (en) Integration of barrier layer and seed layer
US6120844A (en) Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US7265048B2 (en) Reduction of copper dewetting by transition metal deposition
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US6066358A (en) Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6017144A (en) Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
JP2001237314A (ja) アルミニウム平坦化のための障壁適用
WO1999063593A1 (en) Plasma treatment for ex-situ contact fill
EP1433202A2 (en) Integration of barrier layer and seed layer
US20020176939A1 (en) Method of improving the adhesion of copper
US6790777B2 (en) Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070703