JP2001237314A - アルミニウム平坦化のための障壁適用 - Google Patents

アルミニウム平坦化のための障壁適用

Info

Publication number
JP2001237314A
JP2001237314A JP2000361936A JP2000361936A JP2001237314A JP 2001237314 A JP2001237314 A JP 2001237314A JP 2000361936 A JP2000361936 A JP 2000361936A JP 2000361936 A JP2000361936 A JP 2000361936A JP 2001237314 A JP2001237314 A JP 2001237314A
Authority
JP
Japan
Prior art keywords
layer
barrier
metal layer
deposited
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000361936A
Other languages
English (en)
Inventor
Shri Singhvi
シングヴィ シュリ
Rengarajan Suraji
レンガラジャン スラジ
Peijun Ding
ディング ペイユン
Gongda Yao
ヤオ ゴングダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001237314A publication Critical patent/JP2001237314A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

(57)【要約】 【課題】 金属被覆プロセスのための信頼性のある障壁
層を提供する。 【解決手段】 本発明は、特に、基板のコンタクトレベ
ルで、低温度で高アスペクト比のミクロン以下のアパー
チャのバイア充填を改善するための有効な障壁層を供給
する。本発明の1つの局面では、特徴は、高アスペクト
比のコンタクト又はそこに形成されたバイアを有する基
板に障壁層を最初に堆積することにより充填される。好
ましくは、障壁層はTa、TaNx、W、WNx又はその
組合せから成っている。その後、CVD整合金属層は低
温度で障壁層上に堆積され、PVD金属のための整合ウ
ェッティング層を供給する。次に、PVD金属層は金属
の融点温度のそれ以下の温度で以前形成されたCVD整
合金属層に堆積され、CVD整合層及びPVD金属層の
バイアの流れを許容する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスを
製造するための金属被覆プロセスに関する。より詳細に
は、本発明は接点障壁層を堆積するための方法に関す
る。
【0002】
【従来の技術】ハーフミクロン以下の多層金属被覆は、
集積回路(IC)の次世代の超大規模集積回路(VLS
I)の重要な技術の1つを表している。多層レベルのイ
ンターコネクトの素性の信頼性のある形成は、接点、バ
イア、ライン、及びトレンチを含んでおり、VLSIの
成功、及び個々の基板及びダイの回路密度を増加させる
ための連続した努力に重要である。回路密度が増加する
と、素性の幅は0.5μm以下まで減少し、誘電層の厚
さは実質的に一定のままであり、素性のアスペクト比が
増加し、すなわち、高さが幅で分割される。化学気相成
長法(CVD)及び物理気相成長法(PVD)のような
多くの伝統的な堆積プロセスが、基板に形成される素性
のアスペクト比が2:1を超える適用、特に、アスペク
ト比が4:1に近い適用で試されている。
【0003】金属含有層が素性の側壁に素性の幅に亘っ
て堆積し、素性が完全に充填される前に素性の幅に亘り
結局収束する時、一定の金属含有層を高アスペクト比の
素性に堆積する1つの困難さが起こる。部分的に充填さ
れた素性が覆われると、空隙及び切れ目が素性に堆積さ
れた材料内部に形成される。これらの空隙及び切れ目は
信頼性のない電気接点、インターコネクト、及び他の回
路の素性となることがある。
【0004】空隙が素性に形成される可能性を減少させ
る1つの方法は、高温度(例えば、>350℃)でアニ
ールすることにより金属を平坦化することである。基板
への連続ウェッティング層の形成は高温度での平坦化を
成功させるためのキーである。しかし、高温での平坦化
は、障壁/ライナー層を通って周囲の誘電材料に金属を
拡散させることになる。結果として、高温度は基板の接
点レベルで使用されない。
【0005】薄い整合金属膜は、低温度(例えば、<5
50℃)で行われる次の物理気相成長及び平坦化技術の
ための良好なウェッティング層であることが分かってい
る。このプロセスは、1999年3月2日に発行され、
Applied Materials社に共同譲渡された「低温集積金属
被覆プロセス及び装置(Low Temperature IntegratedMet
allization Process and Apparatus)」という表題の Mo
sely等の米国特許No.5,877,087にもっと完全に開示され
ている。
【0006】Mosely等は、最初に薄い耐熱金属層を堆積
し、その後、低温度でCVD金属層を堆積し、次に堆積
されるPVD金属のため整合ウェッティング層を供給す
る。PVD金属は金属の融点温度のそれ以下の温度で以
前形成されたCVD金属層に堆積される。結果として生
じたCVD/PVD金属層は素性に実質的に空隙がな
い。耐熱金属層は、金属拡散を受けやすい誘電層となる
ことがある下層にCVD又はPVD金属層による拡散に
対する障壁を供給する。耐熱金属層は、通常、チタン
(Ti)、窒化チタン、又はそれらの金属の組合せのよ
うな材料を含んでいる。CVD及びPVD層は、伝統的
に、アルミニウム(Al)及び銅で不純物を添加された
アルミニウムを有している。しかし、下にあるチタン
(Ti)耐熱金属層上のアルミニウムの堆積は、三アル
ミニウムチタン(TiAl3)の形成の問題を表す。T
iは、Alと結合し、絶縁体であるTiAl3を形成、
それにより、伝導素性の性能を汚す傾向がある。
【0007】TiAl3の形成を防ぐ1つの解決法は、
窒化チタン(TiN)層の堆積がTi層の堆積に続くこ
とである。TiN層の重複はAlと結合するために使用
可能なTiの量を減少させ、それにより、TiAl3
形成を最小にする。さらに、TiN層はチタンとアルミ
ニウムの両方と良好な結合をする良好な中間にかわ層で
あり、窒化チタンはアルミニウムと相互に作用しない。
Ti/TiN/Alの堆積手順はTiAl3の形成を減
少させるために示されているが、手順はAlとの相互作
用を防ぐためTi層が実質的にTi層を覆うことを要求
する。不運にも、金属被覆の積重ねにさらなる層を含ま
せることは素性のサイズをさらに減少させる。金属被覆
の積重ねの厚さを最小にする試みにおいて、TiN層の
非常に薄い層がTi層に堆積される。しかし、そのよう
な薄いTiN層は決して連続的ではなく、それにより、
TiAl3の形成を防ぐのに余り有効ではない。
【0008】Ti/TiN障壁層の組合せにより増加し
た障壁層の厚さを避けるための1つの代わりの解決法
は、下のTi層がない時は障壁層としてTiNを使用す
ることである。しかし、TiN障壁層は伝統的に物理気
相成長技術により堆積され、小さく高アスペクト比の素
性の整合障壁層以下となり、従って、堆積された金属被
覆の積重ねの層間での拡散を防止するのに有効ではない
ことがある。
【0009】
【発明が解決しようとする課題】1つの顕著な問題は、
チタン及び又は窒化チタンがアルミニウム及び銅のよう
な伝導金属のための障壁層として使用される多層金属被
覆プロセスにおいて起こる。Mosely等で開示された平坦
化技術のような高温度(例えば、>350℃)のプロセ
スでは、アルミニウムはTi、Ti/TiNの組合せ、
又はTiN障壁層を通って拡散されることがある。金属
が接点レベルで堆積された場合には、伝導金属は障壁層
を通って拡散され、下にあるシリコン及び周囲の酸化物
と反応する。アルミニウムと比べて、銅の低抵抗性、高
い電子移動抵抗性、及び高い電流容量のため、今では銅
が使用されているが、下にあるシリコン及び周囲の酸化
物へのアルミニウムの拡散は、近接層の電子デバイスの
特性を変え、層間の伝導経路を形成し、それにより、全
体回路の信頼性を減少させ、デバイスの欠陥を引き起こ
すことがある短絡を形成することがある。
【0010】そのため、特に、アルミニウム及び銅のよ
うな伝導金属で、高アスペクト比でハーフミクロン以下
の接点及びバイアを充填すると共に平坦化するためのプ
ロセスにおいて、金属被覆プロセスのための信頼性のあ
る障壁層の計画の必要性が依然としてある。
【0011】
【課題を解決するための手段】本発明の実施例は基板に
伝導性の素性を形成するためのプロセスを供給する。1
つの局面では、薄い障壁層は基板に形成され、障壁層上
に形成された化学気相成長法(CVD)により堆積され
た薄い整合金属層が後に続く。障壁層は約2000オン
グストローム以下、好ましくは、約5オングストローム
と1000オングストロームの間の厚さを有する。整合
金属層は約2000オングストロームと1ミクロンの間
の厚さ、好ましくは、素性の市場部を密閉する厚さ以下
の厚さを有している。その後、金属層は約660℃以下
の温度で整合金属層上に物理気相成長法により堆積さ
れ、実質的にアパーチャを充填する。その後、PVD金
属層及びCVD整合金属層は約250℃と約450℃の
間の温度でアニールされてもよい。CVD整合金属層及
びPVD金属層は、通常、金属導体、好ましくは、アル
ミニウム(Al)、銅(Cu)、及びその組合せであ
る。障壁/ウェッティング層はタンタル(Ta)、窒化
タンタル(TaNx)、タングステン(W)、又は窒化
タングステン(WNx)及びその組合せのグループから
選択された材料製である。プロセスは、好ましくは、統
合プロセスシステムで連続して行われる。
【0012】本発明の別の局面では、基板は、PVDと
CVDプロセスチャンバーの両方を含む統合プロセスシ
ステムで行われる金属被覆プロセスから作られる。基板
は、半導体基板と、半導体基板に形成される誘電層とを
含み、誘電層はそれに形成されたアパーチャを有し、半
導体基板、アパーチャの表面上に形成された障壁/ウェ
ッティング層と通じており、障壁/ウェッティング層
は、Ta、TaNx、W、WNx及びその組合せのグルー
プから選択された材料を含んでいる。好ましくは、障壁
層は約2000オングストローム以下、好ましくは、約
5オングストロームと1000オングストロームの間の
厚さを有している。次に、Al、Cu等の化学気相成長
の整合金属層は障壁/ウェッティング層上に形成されて
いる。最後に、金属層は化学気相成長の整合金属層上に
物理気相成長法又は電気めっきにより堆積される。物理
気相成長の金属層は約400℃以下の温度で堆積され、
CVD及びPVD堆積の金属層をアパーチャに流れさ
せ、そこに空隙を形成することなくインターコネクトを
形成させる。PVD金属層は、好ましくは、Al、Cu
及びその組合せのグループから選択された金属を備えて
いる。
【0013】本発明の別の局面はプログラム製品を供給
し、コンピュータに読まれると共に実行される時に、チ
ャンバーにプラズマを発生し、チャンバーに堆積された
ターゲットにバイアスを供給し、コイルにバイアスを供
給し、そして、基板への材料の堆積の間、約0.5ミリ
トルと約100ミリトルの間にチャンバー圧力を維持す
る。プログラム製品は、約200Wと約24kWの間で
コイルにRFバイアスを供給すると共に、約200Wと
約24kWの間でターゲットにバイアスを供給する。プ
ログラム製品は、約0Wと1000Wの間の基板にバイ
アスを供給することをさらに含んでいてもよい。チャン
バーに配置されたターゲットは、好ましくは、Ta、T
aN、W、WN及びその組合せのグループから選択され
た材料である。
【0014】
【発明の実施の形態】本発明は、一般に、低プロセス温
度で堆積可能な高アスペクト比のミクロン以下のアパー
チャのバイア充填を向上させるための有効な障壁層を提
供する。本発明はまた、接点、バイア、ライン、又は他
の素性を含む高アスペクト比のアパーチャを約660℃
以下の温度で金属被覆するための方法を供給する。特
に、本発明は伝導金属の第1層、好ましくは、CVDア
ルミニウム(CVD Al)又はCVD銅(CVD C
u)、及び伝導金属の第2層、好ましくは、PVDアル
ミニウム(PVD Al)又はPVD銅(PVD Cu)
の適用で、高アスペクト比の開口を充填するための改善
したステップ範囲を供給する。薄いCVD層は、CVD
及び又はPVD金属層のそれ以上の融点を有し、誘電体
よりCVD金属層でもっと湿潤を供給する伝導金属から
成る薄い障壁/ウェッティング層の堆積により、誘電層
から乾燥したり、誘電層に拡散するのを防止される。タ
ンタル(Ta)、窒化タンタル(TaNx)、タングス
テン(W)、窒化タングステン(WNx)、又はその組
合せのような障壁層は、近接の誘電材料にアルミニウム
又は銅が拡散するのを防ぐのに好適である。
【0015】図1は、そこに形成されたパターン化され
た誘電層12を有すると共にそこに形成されたバイア1
4を有する基板10の概略図である。タンタル又は窒化
タンタルのような材料の薄い障壁/ウェッティング層1
6は、基板に整合して堆積され、バイア14の壁18及
び底レベル20を含む誘電層12の全ての表面を実質的
に覆う。薄いタンタル層16は、通常、約2000オン
グストローム以下の厚さを有し、好ましい厚さは、約5
オングストロームと約1000オングストロームの間で
あり、最も好ましい厚さは、約100オングストローム
と約400オングストロームの間である。整合CVD
Al層22は、接点又はバイアの最上部をブリッジ又は
シールする厚さを超えない厚さ、通常は、約200オン
グストロームから約1ミクロンの厚さであるが、好まし
くは、ミクロン以下の素性のためには約2000オング
ストローム以下の厚さまで障壁/ウェッティング層に堆
積される。整合CVD Al層22は、種層、又は次に
堆積される金属層のためのウェッティング層として作用
する。
【0016】図2は、CVD Al又はCu層に堆積さ
れ、それにPVD層23を形成するPVD Al又はC
u層を示す基板10の概略図である。混合CVD/PV
D層24は、PVD層がCVD層に堆積されると共に集
積される時に、生じる。混合層24の最上面26は、実
質的に平坦化されるであろう。PVD層は一定の不純物
を含んでいてもよく、堆積により、PVD材料はCVD
材料で混合され、不純物がPVD/CVD層24中に散
乱されるようになっている。不純物はアルミニウム層の
銅であってもよく、銅層のアルミニウム又は錫(Sn)
であってもよい。
【0017】障壁/ウェッティング層はCVD層の良好
なウェッティング層を供給するので、PVDの堆積中の
基板温度はアルミニウムの融点(660℃)を超える必
要はないが、むしろ約660℃以下の温度、好ましく
は、約400℃以下の温度で行われてもよい。低い金属
被覆プロセス温度はプロセスの金属層に低い応力を作
り、金属層と障壁層の間の押し出し及び拡散問題を減少
させる結果となる。さらに、改善された障壁層は拡散へ
の高い熱安定性と高い抵抗を供給し、誘電層及び下層へ
の金属層による拡散の可能性を最小にする。
【0018】好ましくは、金属被覆プロセスはCVD及
びPVDプロセスチャンバーの両方を含む集積プロセス
システムで行われる。一度、基板が真空環境に導入され
ると、バイア及び接点の金属被覆は堆積ステップの間に
酸化層を形成することなく発生する。これは、基板が1
つのプロセスシステムから別のシステムに搬送され、C
VD及びPVD堆積層の堆積を経験する必要がないから
である。さらに、障壁/CVD/PVDの手順は、酸化
に耐える利点を供給し、そのため、酸化物の形成なしに
ステップ間の空気に晒され、基板に形成された素性の電
気抵抗を増加させる。
【0019】本発明の平坦化及びバイア充填プロセス
は、アルミニウム(Al)及び銅(Cu)の示す能力を
有利に統合し、表面張力の影響のため、それらのそれぞ
れの融点以下の温度で、流れる。それらの低い融点及び
流れの特性のため、Al及びCuは高温度で下にある誘
電層に良好な範囲又は付着の良好な状態を有していな
い。Al及びCuは、金属のウェッティング及び範囲を
改善するために使用される伝統的なチタン(Ti)及び
窒化チタン(TiN)の障壁/ウェッティング層を介し
て拡散されてもよい。そのため、本発明は、拡散に対す
る高い熱安定性及び抵抗を有する障壁/ウェッティング
層を統合し、平坦化及びバイア充填を改善するためAl
及びCuの流れの特性を有利に使用する。
【0020】拡散に対する高い熱安定性及び抵抗を有す
る良好な整合範囲の好適な障壁/ウェッティング層は、
タンタル(Ta)、窒化タンタル(TaNx)、タング
ステン(W)、窒化タングステン(WNx)、又はその
組合せを含んでいる。アルミニウム及び銅と良好な接着
特性を有し、約2985℃の融点を有するタンタル(T
a)、及び約3400℃の融点を有するタングステン
(W)は、アルミニウム又は銅よりそれらの高い融点及
び高い熱安定性のため好適な障壁/ウェッティング材料
である。Ta及びWは、窒化タンタル硝酸塩(Ta
x)及び窒化タングステン(WNx)としてさらに堆積
されてもよく、硝酸塩層はTa及びW上に改善された拡
散及びウェッティング特性を有してもよい。
【0021】障壁/ウェッティング層は、化学気相成長
法(CVD)、物理気相成長法(PVD)、又はイオン
化金属プラズマ(IMP)PVDとして公知の高/中密
度プラズマPVDのいずれかにより堆積されることがで
きる。障壁/ウェッティング材料は、約2000オング
ストローム以下、好ましくは、約5オングストロームと
約1000オングストロームの間、最も好ましくは、約
100オングストロームと約400オングストロームの
間の厚さに堆積される。障壁/ウェッティング層の堆積
は、好ましくは、イオン化金属プラズマ(IMP)堆積
技術により行われ、高アスペクト比の素性の表面に薄い
整合膜を堆積し、パターン化された誘電層上に実質的に
連続する膜を形成することができる。W及びWNx層は
IMP PVDにより堆積され、高アスペクト比の素性
に整合膜を供給することもできる。本発明の障壁層の使
用は、Ti/TiN障壁層の計画に要求される別個のウ
ェッティング層を堆積する必要性を排除し、1ステップ
の堆積プロセスとなり、それにより、基板のスループッ
トを増加させる。
【0022】図3は、IMPチャンバー40の概略断面
図である。IMP Vectra(登録商標)として公知のIM
Pプロセスチャンバーはカリフォルニア州、サンタクラ
ラ市の Applied Materials社から入手可能である。IM
Pチャンバーは、AppliedMaterials社から入手可能な E
ndura(登録商標)プラットフォームに集積可能であ
る。IMPプロセスは、スパッタされた材料がそこを通
過する時に、スパッタされたターゲット材料をイオン化
させる標準PVDより高圧力プラズマ、高密度プラズマ
(HDP)を供給する。HDP−PVDのイオン化は、
バイアスされた基板表面に実質的に垂直な方向にスパッ
タされた材料を引き寄せ、高アスペクト比の素性でさえ
層を整合して堆積する。チャンバー40は側壁101、
蓋102、及び底103を含んでいる。蓋102はター
ゲット受板104を備え、材料のターゲット105を堆
積させるのを支持する。ターゲット105は、好ましく
は、堆積される伝導材料製であり、好ましくは、タンタ
ル及びタンタルのためのタングステン、窒化タンタル、
タングステン及び窒化タングステン堆積物である。
【0023】チャンバー40の開口部108は、チャン
バー40間での基板の搬送及び回収をするロボット(図
示せず)のためのアクセスを供給し、基板10はチャン
バー40で受け取られ、基板支持112に配置される。
基板支持112は、チャンバー内でスパッタされた材料
の層を堆積するため基板を支持し、通常は接地されてい
る。基板支持112は基板支持112を昇降させるリフ
トモータ114に取付けられ、そこに基板が置かれる。
リフトモータ118に接続されたリフト板116はチャ
ンバー40に取付けられ、基板支持112に取付けられ
たピン120a,120bを昇降させる。ピン120
a,120bは基板支持112の表面との間で基板を昇
降させる。
【0024】コイル122は基板支持112とターゲッ
ト105の間に取付けられ、チャンバー40に誘導結合
磁場を供給し、ターゲット105と基板10の間でのプ
ラズマの発生及び維持を補助する。コイル122はター
ゲットと基板10の間のその位置のためスパッタされ、
好ましくは、ターゲット105と同様の成分でできてい
る。例えば、コイル122は銅及び燐製とすることがで
きる。コイル122のドーピング率は所望の層の構成に
よるターゲットのドーピング率と比べて変更可能であ
り、相対的なドーピング率を変更することにより経験的
に決定される。コイル122に供給される電力はスパッ
タされた材料をイオン化するプラズマの密度を高める。
その後、イオン化された材料は基板10の方に導かれ、
それに堆積する。
【0025】シールド124がチャンバー40内に配置
され、チャンバー側壁101をスパッタされた材料から
シールドする。シールド124はまた、コイル支持12
6によりコイル122を支持する。コイル支持126は
電気的にコイル122をシールド124及びチャンバー
40から絶縁し、コイルと同様の材料製とすることがで
きる。クランプリング128はコイル122と基板支持
112の間に取付けられ、基板10がプロセス位置に上
昇され、クランプリング128の下部部分に掛止する
時、基板の外側端部及び後部をスパッタされた材料から
シールドする。幾つかのチャンバー構成において、シー
ルド124は、基板10がシールド124下方に降下さ
れ、基板を搬送させる時に、クランプリング128を支
持する。
【0026】このタイプのスパッタリングチャンバーで
は、3つの電源が使用される。電源130は、RF電力
を使用することもできるが、好ましくは、ターゲット1
05にDC電力を送り、プロセスガスにプラズマを形成
させる。ターゲットの受板104の後ろに配置された磁
石106a,106bはターゲット105に近接の電子
密度を増加させ、従って、ターゲットでのイオン化を増
加させ、スパッタリング効率を増加させる。磁石106
a,106bは、通常、ターゲットの面に平行な磁界ラ
インを発生させ、電子はスピニング軌道でトラップし、
スパッタリングのためのガス原子との衝突の可能性、及
びイオン化を増加させる。電源132、好ましくは、R
F電源はコイル122に電力を供給し、プラズマ密度を
増加させる。別の電源134は、通常はDC電源である
が、プラズマに対して基板支持112をバイアスし、基
板10の方にイオン化されスパッタされた材料の方向性
誘引を供給する。
【0027】アルゴン又はヘリウムの不活性ガス又は窒
素のような反応性ガスのようなプロセスガスは、マスフ
ローコントローラ142,144により計量される時
に、それぞれのガス源138,140からガス入口13
6を通ってチャンバー40に供給される。真空ポンプ1
46は排気口148でチャンバー40に接続され、チャ
ンバー40を排気し、チャンバー40内を所望圧力に維
持する。
【0028】通常、コントローラ141は、電源、リフ
トモータ、ガス投入のためのマスフローコントローラ、
真空ポンプの機能、及び他の関連チャンバー構成部品及
び機能を制御する。コントローラ141はターゲット1
05に結合された電源130を制御し、プロセスガスに
プラズマを形成させ、ターゲット材料をスパッタさせ
る。コントローラ141はまた、コイル122に結合さ
れた電源132を制御し、プラズマ密度を増加させ、ス
パッタされた材料をイオン化させる。コントローラ14
1はまた、電源134を制御し、基板表面にイオン化さ
れスパッタされた材料の方向性誘引を供給する。コント
ローラ141は、メモリに記憶されたシステムコントロ
ールソフトウェアを実行し、そのメモリは、好適な実施
例では、ハードディスクであり、アナログ及びデジタル
入力/出力ボード、インターフェースボード、及びステ
ッッパモータコントローラボード(図示せず)を含むこ
とができる。光学及び又は磁気センサ(図示せず)は、
一般に、移動可能な機械組立部品の位置を移動すると共
に決定するために使用される。
【0029】タンタル、窒化タンタル、タングステン、
及び窒化タングステンのIMP堆積のための例示のプロ
セス方式は、カリフォルニア州、サンタクララ市のAppl
iedMaterials社から入手可能なIMP Vectra(登録商
標)チャンバーとして公知なイオン金属プラズマ(IM
P)チャンバーの200mmウェーハのため、以下の通
りである。ヘリウム又はアルゴンのような不活性ガス
は、約0.5ミリトルから約100ミリトル、好ましく
は、約20ミリトルから約50ミリトルのチャンバー圧
力を作るために十分な割合でチャンバーに導入される。
ターゲット及びコイルへの電力が約1kWと約3kWの
間、最も好ましくは、基板電力が約300Wの時、20
0mm基板のため、支持部材に供給される電力レベル
は、好ましくは、約0Wと500Wの間である。基板支
持の電力密度は、好ましくは、約0.5W/cm2から
約1.6W/cm2であり、最も好ましくは、1.06
W/cm2である。300mm基板のため、支持部材に
供給される電力レベルは、約500Wと1000Wの間
であり、最も好ましくは、約750Wであり、電力密度
は、約0.7W/cm2と約1.4W/cm2の間であ
り、最も好ましくは、約1.0W/cm2である。IM
P‐PVDチャンバー内のターゲットは、約200ワッ
ト(W)から約24キロワット(kW)及び約20Vと
約2400Vの間でDCバイアスされ、好ましくは、D
Cバイアスは、約1kWと約3kWの間で、約100V
と約300Vの間である。好ましくは、コイルは200
Wから約24kWの間、好ましくは、約1kWと約3k
Wの間でRFバイアスされる。
【0030】基板は、約10℃から約400℃の間、好
ましくは、約300℃以下の温度に維持される。窒化タ
ンタル又は窒化タングステンのような硝酸塩障壁膜の堆
積のため、通常、プロセスガスはアルゴン等の不活性ガ
スと窒素等の硝酸塩ガスを含み、アルゴンはタンタル又
はタングステンのターゲットに衝撃を与えるプラズマイ
オンのための主要ガス源として有用であり、窒素は主に
ターゲットからスパッタされた原子(タングステン)と
反応し、基板に堆積されるタンタル又は窒化タングステ
ンを形成する。
【0031】CVDのAl及びCu、又は別の伝導金属
の層は、その後、障壁層に堆積され、バイアの頂部をブ
リッジすることなく、バイア構造に整合のウェッティン
グ層を形成する。CVDのAl及びCuは障壁/ウェッ
ティング層上に整合ウェッティング層を供給し、次に堆
積されるPVDのAl及びCu層を受け入れる。さら
に、電気めっき及び又は非電着性金属析出を伴う堆積の
ような電子化学堆積プロセスがウェッティング層上の伝
導層を堆積するために使用されてもよい。
【0032】CVDのAl又はCuが各種条件の下、堆
積されてもよいが、通常のプロセスは、約180℃と約
265℃の間の基板温度及び約20Å/secから約13
0Å/secの間の堆積率を含んでいる。好ましくは、化
学気相成長の整合金属層は、約200Åと約1ミクロン
の間の厚さを有しているが、好ましくは、特に、ミクロ
ン以下の高アスペクト比で、素性の頂部をシールする厚
さ以下の厚さを有している。CVDのAl又はCu堆積
は、約1トルと約80トルの間のチャンバー圧力で行わ
れ、好適なチャンバー圧力は約25トルである。
【0033】CVDのAlは伝統的なCVDプロセスに
より堆積されてもよいが、CVDのAlのための好適な
堆積反応は、水素ガス(H2)でのジメチルアルミニウ
ム水酸化物(DMAH)の反応を含んでいる。CVDの
Cu層は、公知のCVDのCuプロセス又は先駆物質ガ
スにより堆積されてもよく、Cu+2(hfac)2とCu+ 2
(fod)2(fodは、7フッ化ジメチルオクタヌディーンの
略語である)を含むが、好適なプロセスは、揮発液混合
Cu+2hfac、搬送ガスとしてアルゴンを有するHMVS
(hfacは、6フッ化アセチルアセトンアニオンの略語で
あり、TMVSは、メチルビニルシランの略語である)
を使用する。この混合物は周囲の状況の下では液体であ
るので、それは半導体製造で現在使用される標準のCV
Dバブラー先駆物質搬送システムで利用可能である。T
MVS及びCu+2(hfac)2は両方、チャンバーから排
出される堆積反応物の揮発性副産物である。揮発液混合
物、Cu+1hfac、TMVSは、熱又はプラズマ補助プロ
セスのいずれかによりCuを堆積するために使用可能で
あるが、熱補助プロセスが好ましい。プラズマエンハン
ストプロセスのための基板温度は、好ましくは、約10
0と約400℃の間であり、熱プロセスのための基板温
度は、約50と約300℃の間であり、最も好ましく
は、約170℃である。好ましくは、化学気相成長の整
合金属層は、約200Åと約1ミクロンの間の厚さを有
している。最も好ましくは、化学気相成長の整合金属層
は、特に、ミクロン以下の素性において、接点又はバイ
アの頂部をシールする厚さを超えない厚さを有してい
る。
【0034】図4は、本発明のCVD堆積プロセスを行
うために適しているCVD堆積チャンバー38の概略部
分断面図である。CVD堆積チャンバー38は、取り囲
む側壁62及び天井64を有している。チャンバー38
は、プロセスガス分配器66を備え、チャンバーに搬送
プロセスガスを分配する。マスフローコントローラ及び
エア作動バルブが堆積チャンバー38へのプロセスガス
の流れを制御するために使用される。ガス分配器66
は、通常、基板10上、又は基板10の周囲に取付けら
れている。支持部材68は堆積チャンバー38で基板を
支持するために供給される。基板はチャンバー38の側
壁62の基板装填口を通してチャンバー38に導入され
る。支持68は支持リフトベローズ70により昇降可能
であり、基板とガス分配器66の間の隙間は調整可能に
なっている。支持68の孔を通して挿入されるリフトフ
ィンガーを備えたリフトフィンガー体72は、支持上の
基板を昇降するために使用され、チャンバー38間での
基板の搬送を容易にすることができる。その後、熱のヒ
ータ74はチャンバーに供給され、基板を急速に加熱す
る。基板の急速加熱及び冷却が好ましく、プロセススル
ープットを増加させると共に同一チャンバー内の異なる
温度で作動する連続プロセスの間の急速サイクルを可能
にする。基板の温度は、一般に、支持68の温度から予
測される。
【0035】基板は水平で穴を有する仕切り板78上の
プロセス領域76で処理される。仕切り板78は排気シ
ステム82と流体で通じる排気孔80を有し、チャンバ
ー38から消費したプロセスガスを排気する。通常の排
気システム82は、約10ミリトルの最小真空度を達成
可能なロータリベーン真空ポンプ(図示せず)と、任意
であるが、副産物ガスを洗浄するためのスクラバーシス
テムを備えている。チャンバー38内の圧力は、基板の
側部で検知され、排気システム82のスロットルバルブ
を調整することにより制御される。
【0036】プラズマ発生器84が供給され、プラズマ
エンハンスト化学気相成長プロセスのためチャンバー3
8のプロセス領域でプラズマを発生する。プラズマ発生
器84は、(i)堆積チャンバー(図示せず)を取囲む
誘導子コイルにRF電流をかけることにより誘導的に、
(ii)チャンバー内のプロセス電極にRF電流をかける
ことにより容量的に、又は、(iii)チャンバー壁又は
他の電極が接地されている間に誘導的及び容量的の両方
で、プラズマを発生させることができる。DC又はRF
は誘導子コイル(図示せず)に加えられることができ、
堆積チャンバーにエネルギを誘導的に結合し、プロセス
領域76でプラズマを発生させる。RF電流が使用され
る時、RF電流の周波数は、通常、約400KHZから
約16MHZであり、もっと典型的には、約13,56
MHZである。選択的に、ガス封じ込め又はプラズマ焦
点リング(図示せず)は、通常、酸化アルミニウム又は
石英製であり、基板の回りのプロセスガス又はプラズマ
の流れを包含するために使用可能である。
【0037】基板へのCVDのAl又はCuウェッティ
ング層の堆積に続いて、その後、基板はPVDチャンバ
ーに搬送され、PVDのAl又はCu層はCVD及びP
VD金属層の融点以下の温度で堆積される。PVDのA
l層は約660℃以下の基板温度で堆積され、PVDの
Cu層は約550℃以下の基板温度で堆積される。アル
ミニウムのための約400℃及び銅のための約200℃
でPVD堆積プロセスしている間、金属層は流れ始め、
障壁/ウェッティング層は固体金属層として適所にしっ
かりと残っている。本発明のタンタル及び他の障壁/ウ
ェッティング層の構成物は良好なウェッティング特性を
有するので、CVD金属は約400℃で乾燥するのを防
止される。そのため、タンタル等の障壁/ウェッティン
グ層の適用は、アルミニウム及び銅の融点よりずっと低
い温度で、金属層の平坦化を成し遂げられ、アルミニウ
ム又は銅が障壁層を通って拡散する可能性を減少させ
る。
【0038】基板開口の金属被覆のための本発明の1つ
の方法は、基板表面を前洗浄し、IMP PVDプロセ
ス、すなわち、スパッタされる原子がイオン化される高
密度プラズマ又はコリメートPVDプロセスを使用して
タンタル又は窒化タンタル等の障壁層を堆積し、CVD
プロセスを使用して障壁層上に伝導金属を堆積し、約6
60℃以下の温度でスパッタリングチャンバー内でPV
D金属を堆積し、PVD金属層及びCVD金属層が実質
的に開口を充填するようする連続ステップを含んでい
る。選択的に、製造された金属被覆スタックは、PVD
のTiN非反射コーティング(「ARC」)の堆積のよ
うなさらなる処置を受けてもよく、金属被覆スタックの
表面の反射率を減少させ、金属被覆スタックのフォトリ
ソグラフィック性能を改善させる。選択的に、スタック
は化学機械研磨(CMP)によりさらに処理されてもよ
い。
【0039】本発明のプロセスは、好ましくは、マルチ
チャンバー処理装置又はPVD及びCVDチャンバーの
両方を有するクラスタツールで実行可能であるが、プロ
セスはまた、それに接続されたPVD又はCVDチャン
バーのいずれかを有する別のシステムで行われてもよ
い。本発明のCVD及びPVDプロセスを実行するため
に適しているマルチチャンバー処理装置35の概略が図
5に示されている。その装置は、カリフォルニア州のサ
ンタクララ市の Apllied Materials社から商業的に入手
可能な ENDURA(登録商標)システムである。同様の段
階真空度の基板処理システムは、1993年2月16日
に発行され、ここにインコーポレイテッドされている、
Tepman等による段階真空度基板処理システム及び方法と
いう表題の米国特許No.5,186,718に開示されている。こ
こに示されている装置35の特定の実施例は半導体基板
等の平坦な基板を処理するために適しており、本発明を
示すために供給され、本発明の範囲を限定するために使
用されるべきではない。
【0040】装置35は一群の相互接続されたプロセス
チャンバーを含み、スパッタリング等のPVDプロセス
を実行するための少なくとも1つの囲まれたPVD堆積
チャンバー36を含んでいる。PVDチャンバー36は
基板に面するスパッタリング材料のスパッタリングター
ゲットを備えている。ターゲットは電気的にチャンバー
から絶縁され、スパッタリングプラズマを発生させるプ
ロセス電極として有用である。スパッタリングプロセス
の間、アルゴン又はキセノン等のスパッタリングガスは
チャンバー36に導入される。DCバイアスはスパッタ
リングターゲットに加えられ、チャンバー内に配置され
た基板支持部材は電気的に接地される。チャンバー36
内に結果として生じる電界はスパッタリングガスをイオ
ン化し、ターゲットをスパッタするスパッタリングプラ
ズマを形成し、基板に材料を堆積させる。スパッタリン
グプロセスにおいて、通常、約100から約20000
ワット、もっと典型的には、約100から約10000
ワットの電力レベルのDC又はRC電圧をスパッタリン
グターゲットに加えることにより、プラズマが発生す
る。
【0041】本発明のため、好ましくは、装置35は、
整合PVDのAl又はCu層を堆積するためのPVDチ
ャンバー36と、CVDのAl又はCu層を堆積するた
めのCVDチャンバー38とを含んでいる。装置35
は、IMP PVDチャンバー40又はタンタル/窒化
タンタル(Ta/TaN)等の本発明の障壁層を堆積す
るための別の障壁/ウェッティング層チャンバーと、金
属被覆スタックの反射率を減少させるTiN非反射コー
ティング(ARC)層を堆積し、それにより、金属被覆
スタックのフォトリソグラフィック性能を向上させるた
めのPVD TiN ARCチャンバー41と、汚染物質
を除去するための2つの前洗浄チャンバー42(Applie
d Materials社から入手可能な前洗浄IIチャンバー
等)、2つの脱気チャンバー44と、2つのロードロッ
クチャンバー46とをさらに備えていてもよい。装置3
5は、搬送ロボット49,51を含む2つの搬送チャン
バー48,50と、搬送チャンバー48,50を分離す
る2つの冷却チャンバー52とを有している。装置35
は、コンピュータプログラム製品41でマイクロプロセ
ッサコントローラ54をプログラムすることにより自動
化されている。しかし、プロセスはまた、個々のチャン
バー、又は上記したものの組合せにより動作されること
もできるであろう。
【0042】コントロールシステム 図6を参照すると、本発明のプロセスは、伝統的なコン
ピュータシステムで稼動するコンピュータプログラム製
品141を使用して実行可能であり、そのコンピュータ
システムは、例えば、カリフォルニア州のSynenergy Mi
crosystems社から商業的に入手可能な68400マイクロプ
ロセッサのような周辺制御部品でメモリシステムに相互
接続された中央処理装置を備えている。コンピュータの
プログラムコードは、例えば、68000アセンブリ言語、
C、C++、又はパスカルのような伝統的なコンピュー
タ読取り可能なプログラム言語で書き込み可能である。
適切なプログラムコードは、伝統的なテキストエディタ
を使用して、単一ファイル、又はマルチファイルに入力
され、コンピュータのメモリシステムのようなコンピュ
ータ使用可能媒体に蓄積又は組み入れられる。入力コー
ドテキストが高水準言語の場合には、コードはコンパイ
ルされ、その後、結果として生じるコンパイラコードは
予めコンパイルされたウィンドウのライブラリルーチン
のオブジェクトコードとリンクされている。リンクされ
コンパイルされたオブジェクトコードを実行するため、
システムのユーザはオブジェクトコードを呼び出し、コ
ンピュータシステムにメモリのコードをロードさせ、そ
こからCPUはコードを読むと共に実行し、プログラム
に明らかにされたタスクを実行する。
【0043】図6は、コンピュータプログラム141の
階層制御構造の例示ブロック図を示している。ユーザは
プロセスセット及びプロセスチャンバー番号をプロセス
セレクタサブルーチン142に入力する。プロセスセッ
トは特定のプロセスチャンバーで特定のプロセスを実行
するために必要な所定セットのプロセスパラメータであ
り、所定のセット番号により明らかにされる。プロセス
パラメータは、例えば、プロセスガス成分及び流量割
合、温度、圧力、冷却ガス圧力等のプラズマの状態、及
びチャンバー壁温度のようなプロセス条件に関する。
【0044】プロセスシーケンサーサブルーチン143
はプログラムコードを備え、識別されたプロセスチャン
バー及びプロセスパラメータのセットをプロセスセレク
タサブルーチン142から受け入れると共に各種プロセ
スチャンバーの動作を制御する。多数のユーザはプロセ
スセットチャンバー及びプロセスチャンバー数を入力で
き、又は、ユーザは多数のプロセスセットチャンバー及
びプロセスチャンバー数を入力でき、シーケンササブル
ーチン143が所望の手順で選択プロセスをスケジュー
ルするように動作する。好ましくは、シーケンササブル
ーチン143はプログラムコードを含み、(i)プロセ
スチャンバーの動作を監視し、チャンバーが使用されて
いるかどうかを決定し、(ii)使用されているチャンバ
ーで何のプロセスが行われているかを決定し、(iii)
プロセスチャンバーの有用性及び行われているプロセス
のタイプに基づいて所望のプロセスを実行するステップ
を実行する。プロセスチャンバーを監視する伝統的な方
法は、ポーリングのように使用可能である。どのプロセ
スが行われるべきかをスケジューリングする時、シーケ
ンササブルーチン143は、選択されたプロセスのため
の所望のプロセス状態、又は要求を入力するそれぞれの
特定のユーザの年齢、又はシステムプログラマが望み、
スケジューリングの優先を決定するために含む他の適当
な要素、と比較して使用されるプロセスチャンバーの現
在の状態を考慮に入れるように設計可能である。
【0045】一度、どのプロセスチャンバー及びプロセ
スセットの組合せが次に行われるのかをシーケンササブ
ルーチン143が決定すると、シーケンササブルーチン
143は、シーケンササブルーチン143により決定さ
れたプロセスセットに従って、異なるプロセスチャンバ
ーで多数のプロセスタスクを制御するチャンバーマネー
ジャサブルーチン144A〜Cに特定のプロセスセット
を渡すことにより、プロセスセットの実行を発生させ
る。例えば、チャンバーマネージャサブルーチン144
Aは、説明したプロセスチャンバー38内でCVDプロ
セス動作を制御するためのプログラムコードを備えてい
る。チャンバーマネージャサブルーチン144はまた、
各種チャンバー構成部品サブルーチン又はプログラムコ
ードモジュールの実行を制御し、選択されたプロセスセ
ットを実行するのに必要なチャンバー構成部品の動作を
制御する。チャンバー構成部品サブルーチンの例は、基
板配置サブルーチン145、プロセスガス制御サブルー
チン146、圧力制御サブルーチン147、ヒータ制御
サブルーチン148、及びプラズマ制御サブルーチン1
49である。
【0046】動作において、チャンバーマネージャサブ
ルーチン144Aは、実行される特定のプロセスセット
に従って、プロセス構成部品サブルーチンを選択的にス
ケジュールししたり、呼び出したりする。チャンバーマ
ネージャサブルーチン144Aは、どのプロセスチャン
バー40及びプロセスセットが次に実行されるべきかを
シーケンササブルーチン143がスケジュールする方法
と同様にプロセス構成部品サブルーチンをスケジュール
する。典型的には、チャンバーマネージャサブルーチン
144Aは、各種チャンバー構成部品を監視し、実行さ
れるプロセスセットのためのプロセスパラメータに基づ
いてどの構成部品が作動される必要があるかを決定し、
監視及び決定ステップに応じてチャンバー構成部品サブ
ルーチンを実行させる。
【0047】仮定的例 以下の仮定的例は基板の接点レベルで素性を形成する方
法を説明している。窒化タンタル層は素性のアルミニウ
ム充填のための障壁層として使用される。
【0048】基板の半ミクロンの素性は、以下のように
本発明により充填される。半ミクロンの素性を有する基
板表面は、酸化物又は他の汚染物質を含む約100Åか
ら400Åの材料を除去するために前洗浄された。その
後、基板はタンタルターゲットを有するIMP−PVD
のTaNに配置され、アルゴン及び窒素を含むプラズマ
が突き当てられ、約400Åの整合窒化タンタル層が基
板上に堆積される。その後、基板はCVDチャンバーに
搬送され、約1500Åの整合アルミニウム層が障壁層
に堆積される。その後、基板はアルミニウムターゲット
を有するPVDのAlチャンバーに搬送され、350℃
以下で約4000Åのバルクアルミニウムを堆積させ
る。アルミニウム層(整合及びバルク)は、その後、ア
ニールチャンバーで加熱される。アニール中の基板温度
は約450℃以下に維持されていた。
【0049】前述したものは本発明の実施例に向けられ
るが、本発明の他のさらなる実施例はその基本範囲から
逸脱することなく発明されてもよい。本発明の範囲は上
記特許請求の範囲により決定される。
【0050】上述した本発明の素性、利点及び目的が達
成されると共に詳細に理解できるように、上記簡単に要
約した発明のより詳細な説明は添付図面に示されたその
実施例に関連させてなされてもよい。
【0051】しかし、添付図面は本発明の典型的な実施
例だけを示しており、そのため、その範囲を制限するも
のと考えるべきではなく、本発明は他の同等の有効な実
施例にも適用可能である。
【図面の簡単な説明】
【図1】障壁/ウェッティング層及びCVDのAl層を
有する本発明による半導体基板の接点の概略図である。
【図2】障壁/ウェッティング層及び混合したCVD/
PVDのAl層を有する本発明による半導体基板の接点
の概略図である。
【図3】本発明のPVDプロセスを行うために適したI
MP−PVDチャンバーの概略断面図である。
【図4】本発明のCVD堆積を行うために適したCVD
チャンバーの概略部分断面図である。
【図5】本発明による連続した金属被覆のために構成さ
れた統合CVD/PVDシステムである。
【図6】本発明を制御するのに適したコンピュータプロ
グラムの階層制御構造を示す簡略ブロック図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スラジ レンガラジャン アメリカ合衆国 カリフォルニア州 95129 サン ホセ ミラマー アベニュ ー 4819 (72)発明者 ペイユン ディング アメリカ合衆国 カリフォルニア州 95129 サン ホセ ウェスト リヴァー サイド ウェイ 1020 (72)発明者 ゴングダ ヤオ アメリカ合衆国 カリフォルニア州 94539 フリーモント ウィンディング レーン 44875

Claims (41)

    【特許請求の範囲】
  1. 【請求項1】 基板の接点レベルに素性を形成する方法
    であって、(a)Ta、TaNx、W、WNx及びその組
    合せのグループから選択された材料を備えた障壁/ウェ
    ッティング層をアパーチャの表面上に堆積し、(b)前
    記障壁/ウェッティング層の表面上に約200オングス
    トロームと約1ミクロンの間の厚さを有する整合金属層
    を堆積し、(c)約600℃以下の温度で前記整合層上
    に金属層を堆積する、ことを特徴とする方法。
  2. 【請求項2】 前記障壁/ウェッティング層は、約20
    00オングストローム以下の厚さを有する請求項1に記
    載の方法。
  3. 【請求項3】 前記障壁/ウェッティング層は、約5オ
    ングストロームと約1000オングストロームの間の厚
    さを有する請求項1に記載の方法。
  4. 【請求項4】 前記整合金属層及び前記金属層は、アル
    ミニウム、銅、及びその組合せのグループから選択され
    た金属を備えている請求項1に記載の方法。
  5. 【請求項5】 前記整合金属層は、化学気相成長法によ
    り堆積され、前記伝導金属層は物理気相成長法又は電気
    めっきにより堆積されている請求項4に記載の方法。
  6. 【請求項6】 前記金属層は、約400℃以下の温度で
    堆積される請求項1に記載の方法。
  7. 【請求項7】 前記ステップ(a)から(c)は、統合
    プロセスシステムで連続的に行われる請求項1に記載の
    方法。
  8. 【請求項8】 前記ステップ(a)から(c)は、別の
    チャンバーで行われる請求項1に記載の方法。
  9. 【請求項9】 約250℃と約450℃の間の温度で前
    記金属層をアニールするステップをさらに備えている請
    求項1に記載の方法。
  10. 【請求項10】 前記障壁層は、約0.5ミリトルと約
    100ミリトルの間のチャンバー圧力でイオン化された
    金属プラズマ物理気相成長法(IMP−PVD)を使用
    して堆積される請求項1に記載の方法。
  11. 【請求項11】 前記障壁層は、ターゲットをスパッタ
    リングすると共に前記ターゲットと前記基板の間に電磁
    場を供給することにより堆積される請求項1に記載の方
    法。
  12. 【請求項12】 前記電磁場は、約200Wと約24k
    Wの間でバイアスをかけられたRFをコイルに加えるこ
    とにより供給される請求項11に記載の方法。
  13. 【請求項13】 前記ターゲットは、約200Wと約2
    4kWの間のバイアスを前記ターゲットに加えることに
    よりスパッタされる請求項12に記載の方法。
  14. 【請求項14】 約300Wと1000Wの間の基板に
    バイアスをかけることをさらに含む請求項13に記載の
    方法。
  15. 【請求項15】 基板の接点レベルでアパーチャを充填
    するプロセスであって、(a)Ta、TaNx、W、W
    x及びその組合せのグループから選択された材料を備
    えた薄い障壁/ウェッティング層をアパーチャの表面上
    に形成し、(b)前記障壁/ウェッティング層上に薄い
    整合CVD金属層を形成し、(c)約600℃以下の温
    度で前記CVD金属層上にPVD金属層を形成する、こ
    とを特徴とするプロセス。
  16. 【請求項16】 前記障壁/ウェッティング層は、約2
    000オングストローム以下の厚さを有する請求項15
    に記載のプロセス。
  17. 【請求項17】 前記障CVD金属層は、前記アパーチ
    ャの頂部を密閉する厚さ以下の厚さを有している請求項
    15に記載のプロセス。
  18. 【請求項18】 前記CVD整合金属層は、アルミニウ
    ム、銅、及びその組合せのグループから選択された伝導
    金属材料を備えている請求項15に記載のプロセス。
  19. 【請求項19】 前記PVD金属層は、アルミニウム、
    銅、及びその組合せのグループから選択された金属を備
    えている請求項15に記載のプロセス。
  20. 【請求項20】 前記PVD層は、400℃以下の温度
    で堆積される請求項19に記載のプロセス。
  21. 【請求項21】 前記障壁層は、約0.5ミリトルと約
    100ミリトルの間のチャンバー圧力で、イオン化金属
    プラズマ物理気相成長法(IMP−PVD)を使用して
    堆積される請求項15に記載のプロセス。
  22. 【請求項22】 前記障壁層は、ターゲットをスパッタ
    リングすると共に前記ターゲットと前記基板の間に電磁
    場を供給することにより堆積される請求項15に記載の
    プロセス。
  23. 【請求項23】 前記電磁場は、約200Wと約24k
    Wの間でバイアスをかけられたRFをコイルに加えるこ
    とにより供給される請求項22に記載のプロセス。
  24. 【請求項24】 前記ターゲットは約200Wと約24
    kWの間のバイアスを前記ターゲットに加えることによ
    りスパッタリングされる請求項23に記載のプロセス。
  25. 【請求項25】 約0Wと1000Wの間の基板にバイ
    アスをかけることをさらに含む請求項24に記載のプロ
    セス。
  26. 【請求項26】 前記CVDは、約400℃以下の温度
    で堆積される請求項15に記載のプロセス。
  27. 【請求項27】 前記CVD及びPVD層は混合され、
    混合金属層を形成する請求項15に記載のプロセス。
  28. 【請求項28】 a)前記基板に形成され、それに形成
    されたアパーチャを有し、前記基板に通じる誘電層と、 b)Ta、TaNx、W、WNx及びその組合せのグルー
    プから選択された材料を備えた前記アパーチャの表面上
    の障壁/ウェッティング層と、 c)前記障壁/ウェッティング層上に形成され、Al、
    Cu及びその組合せのグループから選択された材料を備
    えた化学気相成長の整合金属層と、 d)前記化学気相成長の整合金属層上に形成され、約4
    00℃以下の温度で堆積され、Al、Cu及びその組合
    せのグループから選択された材料を備えた物理気相成長
    金属層と、を備えていることを特徴とする半導体基板。
  29. 【請求項29】 前記障壁/ウェッティング層は、約2
    000オングストローム以下の厚さを有する請求項28
    に記載のプロセス。
  30. 【請求項30】 コンピュータにより読まれると共に実
    行される時に、 a)チャンバーでプラズマを発生させ、 b)前記チャンバーで堆積されたターゲットにバイアス
    を供給し、 c)コイルにバイアスを供給し、 d)基板に材料を堆積している間、前記チャンバーの圧
    力を約0.5ミリトルと約100ミリトルの間に維持す
    る、ことを特徴とするプログラム製品。
  31. 【請求項31】 電磁場は、約200Wと約24kWの
    間にバイアスをかけられたRFをコイルに加えることに
    より供給される請求項30に記載のプログラム製品。
  32. 【請求項32】 ターゲットは、約200Wと約24k
    Wの間のバイアスを前記ターゲットにかけることにより
    スパッタされる請求項31に記載のプログラム製品。
  33. 【請求項33】 e)約0Wと1000Wの間のバイア
    スを基板に供給することをさらに含む請求項31に記載
    のプログラム製品。
  34. 【請求項34】 前記ターゲットは、Ta、TaN、
    W、WN及びその組合せのグループから選択された材料
    を備えている請求項31に記載のプログラム製品。
  35. 【請求項35】 基板の前記接点レベルに素性を形成す
    る方法であって、(a)Ta、TaNx、W、WNx及び
    その組合せのグループから選択された材料を備えた障壁
    /ウェッティング層をアパーチャの表面上に堆積し、
    (b)前記障壁/ウェッティング層の表面上に金属層を
    堆積する、ことを特徴とする方法。
  36. 【請求項36】 前記金属層は、約200オングストロ
    ームと約1ミクロンの間の厚さを有する整合金属層を前
    記障壁/ウェッティング層上に堆積し、その後、約66
    0℃以下の温度で前記整合金属層上に伝導金属層を堆積
    することにより形成される請求項35に記載の方法。
  37. 【請求項37】 前記整合金属層は化学気相成長法によ
    り堆積され、前記伝導金属層は物理気相成長法又は電気
    めっきにより堆積される請求項36に記載の方法。
  38. 【請求項38】 前記整合金属層及び前記伝導金属層
    は、アルミニウム、銅、及びその組合せのグループから
    選択された金属を備えている請求項36に記載の方法。
  39. 【請求項39】 約250℃と約450℃の間の温度で
    前記金属層をアニールするステップをさらに備えている
    請求項35に記載の方法。
  40. 【請求項40】 前記障壁層は、約0.5ミリトルと約
    100ミリトルの間のチャンバー圧力で、イオン化金属
    プラズマ物理気相成長法(IMP−PVD)を使用して
    堆積される請求項35に記載の方法。
  41. 【請求項41】 前記障壁層はターゲットをスパッタリ
    ングすることにより堆積され、前記ターゲットをスパッ
    タリングすることは、約200Wと約24kWの間にバ
    イアスをかけられたRFをコイルに加えることと、約2
    00Wと約24kWの間のバイアスを前記ターゲットに
    かけることと、約300Wと1000Wの間のバイアス
    を前記基板にかけることを含む請求項40に記載の方
    法。
JP2000361936A 1999-10-21 2000-10-23 アルミニウム平坦化のための障壁適用 Withdrawn JP2001237314A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/425082 1999-10-21
US09/425,082 US6207558B1 (en) 1999-10-21 1999-10-21 Barrier applications for aluminum planarization

Publications (1)

Publication Number Publication Date
JP2001237314A true JP2001237314A (ja) 2001-08-31

Family

ID=23685069

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000361936A Withdrawn JP2001237314A (ja) 1999-10-21 2000-10-23 アルミニウム平坦化のための障壁適用

Country Status (6)

Country Link
US (2) US6207558B1 (ja)
EP (1) EP1094503A3 (ja)
JP (1) JP2001237314A (ja)
KR (1) KR100743330B1 (ja)
SG (1) SG92749A1 (ja)
TW (1) TW526583B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005055298A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited プラズマ処理装置及びマルチチャンバシステム
JP2010103376A (ja) * 2008-10-24 2010-05-06 Citizen Tohoku Kk 薄膜形成装置および薄膜形成方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
JP3351383B2 (ja) * 1999-04-21 2002-11-25 日本電気株式会社 半導体装置の製造方法
JP2001057359A (ja) * 1999-08-17 2001-02-27 Tokyo Electron Ltd プラズマ処理装置
KR100338112B1 (ko) * 1999-12-22 2002-05-24 박종섭 반도체 소자의 구리 금속 배선 형성 방법
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6528180B1 (en) 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
TW512180B (en) * 2000-09-21 2002-12-01 Promos Technologies Inc Method for maintaining the cleanness of a vacuum chamber of physical vapor deposition system
US6486064B1 (en) * 2000-09-26 2002-11-26 Lsi Logic Corporation Shallow junction formation
US6953392B2 (en) * 2001-01-05 2005-10-11 Asm Nutool, Inc. Integrated system for processing semiconductor wafers
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
TWI222154B (en) * 2001-02-27 2004-10-11 Asm Nutool Inc Integrated system for processing semiconductor wafers
US20040259348A1 (en) * 2001-02-27 2004-12-23 Basol Bulent M. Method of reducing post-CMP defectivity
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6736929B2 (en) * 2002-02-15 2004-05-18 Nutool, Inc. Distributed control system for semiconductor manufacturing equipment
US20040089421A1 (en) * 2002-02-15 2004-05-13 Komandur Srinivasan M. Distributed control system for semiconductor manufacturing equipment
JP2003318395A (ja) * 2002-04-19 2003-11-07 Hitachi Ltd 半導体装置の製造方法
US6716733B2 (en) * 2002-06-11 2004-04-06 Applied Materials, Inc. CVD-PVD deposition process
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
KR100460066B1 (ko) * 2002-07-19 2004-12-04 주식회사 하이닉스반도체 반도체소자의 제조방법
US7138719B2 (en) * 2002-08-29 2006-11-21 Micron Technology, Inc. Trench interconnect structure and formation method
KR100457843B1 (ko) * 2002-09-18 2004-11-18 삼성전자주식회사 반도체 장치에서 콘택 형성 방법
KR100480632B1 (ko) * 2002-11-16 2005-03-31 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US6977217B1 (en) 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US6794753B2 (en) * 2002-12-27 2004-09-21 Lexmark International, Inc. Diffusion barrier and method therefor
US20040214417A1 (en) * 2003-03-11 2004-10-28 Paul Rich Methods of forming tungsten or tungsten containing films
WO2004086476A1 (ja) * 2003-03-25 2004-10-07 Fujitsu Limited 半導体装置の製造装置及び製造方法
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100583637B1 (ko) * 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US7180193B2 (en) * 2004-04-13 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Via recess in underlying conductive line
US7323230B2 (en) * 2004-08-02 2008-01-29 Applied Materials, Inc. Coating for aluminum component
US7732056B2 (en) 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
KR100764739B1 (ko) * 2006-05-10 2007-10-08 삼성전자주식회사 반도체 소자의 형성 방법
DE102007035837A1 (de) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
US9269615B2 (en) * 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US8772158B2 (en) 2012-07-20 2014-07-08 Globalfoundries Inc. Multi-layer barrier layer stacks for interconnect structures
US8728931B2 (en) * 2012-07-20 2014-05-20 GlobalFoundries, Inc. Multi-layer barrier layer for interconnect structure
US8623758B1 (en) 2012-10-22 2014-01-07 Globalfoundries Inc. Subtractive metal multi-layer barrier layer for interconnect structure
CN105112976A (zh) * 2015-07-31 2015-12-02 深圳市星火辉煌系统工程有限公司 一种cvd工装表面微弧改性工艺

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE374591C (de) * 1921-05-29 1923-04-28 Gersham Bowmar Kolben mit im Hohlraum des Kolbenkoerpers angeordneter luftleerer Kammer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5010032A (en) 1985-05-01 1991-04-23 Texas Instruments Incorporated Process for making CMOS device with both P+ and N+ gates including refractory metal silicide and nitride interconnects
JPS639925A (ja) 1986-06-30 1988-01-16 Nec Corp 半導体装置の製造方法
JPS6373660A (ja) 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63176031A (ja) 1987-01-16 1988-07-20 Stanley Electric Co Ltd 光通信装置
FR2610142B1 (fr) 1987-01-23 1989-05-26 Lami Philippe Procede de formation de trous de passage metallises de hauteurs inegales
US4960732A (en) 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US4784973A (en) 1987-08-24 1988-11-15 Inmos Corporation Semiconductor contact silicide/nitride process with control for silicide thickness
US4926237A (en) 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4938996A (en) 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
FR2634317A1 (fr) 1988-07-12 1990-01-19 Philips Nv Procede pour fabriquer un dispositif semiconducteur ayant au moins un niveau de prise de contact a travers des ouvertures de contact de petites dimensions
JPH0226051A (ja) 1988-07-14 1990-01-29 Seiko Epson Corp 半導体装置の製造方法
US4920072A (en) 1988-10-31 1990-04-24 Texas Instruments Incorporated Method of forming metal interconnects
US4920073A (en) 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5102827A (en) 1989-05-31 1992-04-07 At&T Bell Laboratories Contact metallization of semiconductor integrated-circuit devices
JPH038359A (ja) 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
US5240505A (en) 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
SG45420A1 (en) 1989-09-26 1998-01-16 Canon Kk Process for forming deposited film by use of alkyl aluminum hydride and process for preparing semiconductor device
JPH0727880B2 (ja) 1989-11-10 1995-03-29 株式会社東芝 半導体装置の製造方法
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US5478780A (en) 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5043300A (en) 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
US5091339A (en) 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5023201A (en) 1990-08-30 1991-06-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
US5080933A (en) 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5032233A (en) 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5250465A (en) 1991-01-28 1993-10-05 Fujitsu Limited Method of manufacturing semiconductor devices
US5143867A (en) 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5147819A (en) 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JP2533414B2 (ja) 1991-04-09 1996-09-11 三菱電機株式会社 半導体集積回路装置の配線接続構造およびその製造方法
US5292558A (en) 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
DE69226411T2 (de) 1991-09-30 1998-12-24 At & T Corp Herstellung eines leitenden Gebietes in elektronischen Vorrichtungen
US5312774A (en) 1991-12-05 1994-05-17 Sharp Kabushiki Kaisha Method for manufacturing a semiconductor device comprising titanium
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5240739A (en) 1992-08-07 1993-08-31 Micron Technology Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JPH0722339A (ja) 1993-07-05 1995-01-24 Toshiba Corp 薄膜形成方法
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5585308A (en) 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
US5439731A (en) 1994-03-11 1995-08-08 Cornell Research Goundation, Inc. Interconnect structures containing blocked segments to minimize stress migration and electromigration damage
JP3400078B2 (ja) 1994-04-13 2003-04-28 富士写真フイルム株式会社 画像読取装置
JPH0810693A (ja) 1994-06-30 1996-01-16 Dainippon Screen Mfg Co Ltd レジスト膜の乾燥方法及び装置
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH1064902A (ja) 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6110828A (en) 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
JPH11317452A (ja) * 1997-08-29 1999-11-16 Seiko Epson Corp 半導体装置およびその製造方法
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005055298A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited プラズマ処理装置及びマルチチャンバシステム
JPWO2005055298A1 (ja) * 2003-12-03 2007-08-23 東京エレクトロン株式会社 プラズマ処理装置及びマルチチャンバシステム
JP2010103376A (ja) * 2008-10-24 2010-05-06 Citizen Tohoku Kk 薄膜形成装置および薄膜形成方法

Also Published As

Publication number Publication date
KR20010040149A (ko) 2001-05-15
TW526583B (en) 2003-04-01
US20010005629A1 (en) 2001-06-28
EP1094503A3 (en) 2002-01-02
US6207558B1 (en) 2001-03-27
US6368880B2 (en) 2002-04-09
SG92749A1 (en) 2002-11-19
KR100743330B1 (ko) 2007-07-26
EP1094503A2 (en) 2001-04-25

Similar Documents

Publication Publication Date Title
US6368880B2 (en) Barrier applications for aluminum planarization
US6660135B2 (en) Staged aluminum deposition process for filling vias
US6139697A (en) Low temperature integrated via and trench fill process and apparatus
US20030017695A1 (en) Reliability barrier integration for Cu application
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US6936906B2 (en) Integration of barrier layer and seed layer
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6432819B1 (en) Method and apparatus of forming a sputtered doped seed layer
US6120844A (en) Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
EP1122775A2 (en) Treatment of a metal nitride/metal stack
US20030057526A1 (en) Integration of barrier layer and seed layer
US20030059538A1 (en) Integration of barrier layer and seed layer
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
CN102361004B (zh) 阻挡层和籽层的集成
JPH1070093A (ja) イオン化金属付着層を用いるアルミニウムホール充填
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
KR100365061B1 (ko) 반도체소자및반도체소자제조방법
US20020192948A1 (en) Integrated barrier layer structure for copper contact level metallization
US6268284B1 (en) In situ titanium aluminide deposit in high aspect ratio features

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080108