JP2008500456A - プラズマ処理の方法及び装置 - Google Patents

プラズマ処理の方法及び装置 Download PDF

Info

Publication number
JP2008500456A
JP2008500456A JP2007515151A JP2007515151A JP2008500456A JP 2008500456 A JP2008500456 A JP 2008500456A JP 2007515151 A JP2007515151 A JP 2007515151A JP 2007515151 A JP2007515151 A JP 2007515151A JP 2008500456 A JP2008500456 A JP 2008500456A
Authority
JP
Japan
Prior art keywords
plasma
substrate
deposition system
metal
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007515151A
Other languages
English (en)
Other versions
JP2008500456A5 (ja
Inventor
ジョゼフ・ブルカ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008500456A publication Critical patent/JP2008500456A/ja
Publication of JP2008500456A5 publication Critical patent/JP2008500456A5/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

高アスペクト比形体内に金属又は他のコーティング材料のコンフォーマルな膜を蒸着するための蒸着システム100及びその作動方法が開示される。蒸着システムはプラズマを形成し蒸着システム100に金属蒸気を導入するためのプラズマ源120及び分散型金属源130を備える。蒸着システムは一つのプラズマ密度を有するプラズマを形成し、一つの金属密度を有する金属蒸気を生成するように設計されており、基板近傍での金属密度対プラズマ密度の比は一以下である。基板の直径の略20%の長さである基板114の表面からの距離内において、このような比となっている。実質的に基板表面に亘りプラスマイナス25パーセント内でこの比は一様である。1012cm−3を越えるプラズマ密度に対してと、膜の最大の厚さが例えば形体の幅の10パーセントといった形体の幅の半分以下であるナノスケール形体を有する基板上の薄膜蒸着に対して、この比は特に有効である。

Description

本発明は、薄膜蒸着用装置に係り、特に基板上の高アスペクト比形体内のコンフォーマルな薄膜蒸着に関する。
集積回路(IC)の相互配線及び内部配線用の半導体基板上の高アスペクト比(high aspeect ratio:HAR)ビアホール、コンタクト並びにトレンチの金属被膜においては、バリア層及びシード層は、側壁と底面を十分に覆い所望のバリアまたはシード特性を有するように蒸着されることが要求される。例えば、通常、バリア層は可能な限り薄く、電気抵抗を最小化することが望ましいが、バリア特性を犠牲にするようなものであってはならない。更には、例えば、バリア層は、シード層の物質が誘電体層や他の層に拡散することを防止するために空隙がなくコンフォーマル且つ連続的でなければならず、信頼性についての問題が発生することを防ぐ。厚さの最大値と最小値の間の差が工程において許容範囲内であると、膜はコンフォーマルになる。フィルムの厚さの最大値と最小値の比が小さいほど、コンフォーマル性は良くなる。
HAR形体の金属被膜に対しては、イオン化物理気相蒸着(iPVD)が半導体処理において用いられてきており、サブミクロン技術への拡張が考案されている。イオン化PVDはビアやトレンチ構造の側面や底面の被覆性がよい。しかしながら、幾何学構造が小さくなり、ビアの寸法が略100ナノメートル以下と小さくなっており、蒸着に対する要求がより厳しくなってきている。形体の開口部(または上部)の小さな張り出しでさえ、形体を十分に覆うことを致命的に阻害するものとして認識されている。更には、張り出しの形成は形体の形状に影響を受け易いとも認識されており、この効果は、工程の全収率に影響を与える。
相互接続の形成における薄膜のコンフォーマルな蒸着は従来の方法を用いたのでは、一般的に非常に困難である。従って、高速で、均一に近く、より良い段差被覆性を提供することが難しい。このような段差被覆性は、形体の側面の被覆部の厚さ対その下に形体が存在する基板のフィールド領域または水平方向の表面の被覆部の厚さの比として数値的に決められることもある。例えば、(接着、バリア、シード層用の)金属層のスパッタリング等の物理気相蒸着(PVD)では、トレンチ及び/又はコンタクトビアの表面上の厚さが全て略5nm(ナノメートル)以下であることが要求される。従って、高アスペクト比形体内部への金属のPVDでは、ビアの底面に十分な被覆性を与えるために、基板の上部表面上により多く蒸着することが求められる。デュアルダマシン方式における最新のトレンチやコンタクト構造では通常、図12Aに示すように、5nmの金属24がコンタクトビア23の底面と側壁に到達するためには、基板20の水平方向のフィールド領域27上に略50nmPVD金属膜21が求められる。PVD技術の指向性により、底面26に比較して、トレンチ及び/又はビアの上側のコーナー25により急速に蒸着される傾向がある。導線の幅の大部分を占める構造の上側の表面上に、蒸着物質が急速に蒸着する結果として、このように蒸着したコーナーにより形体の下側の範囲内へと影ができる。
iPVDを用いる現在のIC相互接続技術(非特許文献1を参照)においては、逐次又はその場(in−situ)蒸着と、張り出しを除去するためのエッチング処理とを含む方法がいくつか存在する(特許文献1、特許文献2、特許文献3、特許文献4を参照)。しかしながら、従来の工程条件では、ビアの張り出しや閉塞、金属層の非連続性、低蒸着速度、処理量の限界を含む複数の望ましくない効果が依然として生じてしまうことを本願発明者は目にしてきた。いくつかの方法においては、基板の移動という問題を有する別途の蒸着とエッチングシステムが必要とされ、保護されていない内部コイルのため汚染が生じる可能性があり、プラズマ生成の非一様性や結果として生じるエッチングの非一様性は、現在の方法が直面している追加的な問題に過ぎない。更には、基板表面の再スパッタンリング効果を考慮しただけでは、張り出しの堆積を完全には防止されないことを本願発明者は目にしてきた。
ビアやトレンチの金属被膜に用いられる他の技術としては、特定の金属や金属窒化物用に開発された化学気相蒸着(CVD)が挙げられ、PVD法よりも改善された段差被覆性を示す。しかしながら、CVD法においても、構造の上側の表面や側壁上へ蒸着される物質の量よりも、デュアルダマシンのコンタクトホールの底面へ蒸着される物質の量の方が遥かに少ない傾向がある。従って、PVDよりはいくらか改善されてはいるが、公知の低温CVD法を用いたのでは、デュアルダマシン構造のCVDによる段差被覆性は均一ではないままである。金属の相互接続のコンフォーマルな被覆のために提案された最新の方法としては、原子層蒸着(ALD)が挙げられる(特許文献5を参照)。ALDでは通常、反応フェーズを交互に行うサイクルが含まれ、各々のフェーズは自己制限的な効果を有する。しかしながら、ALD法では、高圧室温で前駆体が得られることに関する問題がある。
結局、現在の金属被覆技術では、形体の張り出しを除去する能力に欠け、結果として、発展し続けるICに対するHAR形体の十分な被覆性を提供することに完全に失敗しているということを本願発明者は目にしてきた。
Rossnagel、「Directional and iPVD for microelectronics applications」、J.Vac.Sci.Technol.、1998年、第B16巻、第5号、p.2585−2608 米国特許第6100200号明細書 米国特許第4999096号明細書 米国特許第6274008号明細書 米国特許出願公開第2003/0034244号明細書 米国特許第6699783号明細書
本発明の目的の一つは、上述の問題点のいずれかまたは全てを、低減または除去することである。本発明の他の目的は、高アスペクト比(HAR)形体の被覆特性を改善した物質の蒸着法を提供することである。
本発明の原理によると、基板上の高アスペクト比形体に薄膜を形成するための蒸着システムは、プロセスチャンバと、プロセスチャンバに結合され基板を支持するように設計された基板ホルダーと、プロセスチャンバに結合されプロセスチャンバ内にプラズマを形成するように設計されたプラズマ源と、プロセスチャンバに結合されプロセスチャンバに金属を導入するように設計された金属源を備える。ここで、プラズマ源及び金属源は基板上の金属密度とプラズマ密度の間の比が一以下となるように設計される。
本発明の他の側面によると、基板上の高アスペクト比形体に金属薄膜を蒸着する方法は、蒸着システム内の基板ホルダー上に基板を配置する段階と、プラズマ源を用いて蒸着システム内部に一つのプラズマ密度を有するプラズマを形成する段階と、金属源を用いて蒸着システム内部に一つの金属密度を有する金属を導入する段階と、略1以下である基板上の金属密度対プラズマ密度の比を定める段階と、基板上の形体内部にコンフォーマルな蒸着を実施する段階とを備える。
本発明は主に金属の蒸着を対象としているが、本発明の原理に好ましく反応するような他のコーティング材料膜の蒸着にも適用されるものである。例えば、本発明は、金属のイオン化ポテンシャルがバックグラウンドのプロセスガスのイオン化ポテンシャルよりも小さい箇所の金属蒸着に特に役立つ。従って、バックグラウンドのプロセスガスのイオン化ポテンシャルよりも小さいイオン化ポテンシャルを有するコーティング材料は、本発明の原理を適用することにより恩恵を受ける。例えばプロセスガスがアルゴンである場合には、アルゴンのイオン化ポテンシャルよりも小さなイオン化ポテンシャルを有するコーティング材料は、特に本発明の恩恵を受ける。高いイオン化ポテンシャルを有するバックグラウンドガスを用いることにより、本発明を更に他の材料へと拡張することが可能になる。更に他の材料への本発明の拡張は解析的または実験的に決定される。
以下の説明においては、本発明の完全な理解を容易にするためと、本発明を限定するのではなく例示するために、例えば、特定の蒸着システムの幾何学構造や、様々な形状のプラズマ源、分散型金属源等について、具体的に詳述する。しかしながら、本発明は、この具体的に詳述されるものから逸脱する他の実施形態によっても実施され得ることは理解されたい。
しかしながら、本発明の一般的な概念の進歩性について説明せずとも、この具体的な説明内に、進歩性を有する特徴について記載されていることは理解されたい。
例えば、本願の開示の進歩的な側面には、プラズマ源はプロセスチャンバに結合されて、プロセスチャンバ内で1012e/cm(立法センチメートル当たりの電子数)以上の高密度プラズマを形成するように設計されていて、金属源はプロセスチャンバに結合されて、プラズマ密度よりも小さい金属原子及び金属イオンの全密度でプロセスチャンバに金属を導入するように設計されている、基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム及び方法を提供することが含まれる。
他の例として、本願の開示の進歩的な側面には、基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム及び方法が含まれ、ここで、プラズマ源及び金属源はプロセスチャンバに結合されプロセスチャンバ内にプラズマを形成するように設計されており、基板表面に近い部分に亘って金属密度はプラズマ密度よりも小さい。更なる例として、進歩的な側面には、基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム及び方法が含まれ、ここで、プラズマ源及び金属源はプロセスチャンバに結合されプロセスチャンバ内にプラズマを形成するように設計されており、基板表面に近い部分に亘って金属密度はプラズマ密度よりも小さく、全金属密度対プラズマ密度の比は基板表面に亘って比較的一様である。
上述の特徴並びに他の進歩的な側面を含む更なる実施例として、プロセスチャンバに結合され1012e/cm以上の高密度プラズマを形成するように設計されたプラズマ源を用いて、また、プラズマ密度に対する金属原子及び金属イオンの全体の密度の比が0.1から1.0であり、基板表面に近い部分に亘って略一様であるようにプロセスチャンバ内に金属を導入するように設計された金属源を用いて基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム及び方法が提供される。更に他の例として、本発明の進歩的な側面には、プロセスチャンバに結合され1012e/cm以上の高密度プラズマを形成するように設計されたプラズマ源を用いて、また、プラズマ密度に対する金属原子及び金属イオンの全体の密度の比が0.1から1.0であり、基板表面からプラズマに向かって広がる空間であり尚且つ、プラズマ被膜部、またはプラズマ被膜部及び被膜部近傍、またはプラズマ被膜部と被膜部近傍と被膜部近傍内部の少なくともある程度のプラズマ、または基板の直径の略1/4の厚さの部分とのの何れかを含む空間全体に亘る基板表面に近い部分に亘って略一様であるようにプロセスチャンバ内に金属を導入するように設計された金属源を用いて基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム及び方法が提供することが含まれる。
他の例については、下記の様々な実施例についての記載及び添付した特許請求の範囲に含まれている。
本発明の特定の実施例において実現される利点の例が図12Bに示されており、例えば幅65nmのビア32等の高アスペクト比(例えば7:1)形体を有する基板30上の膜31の蒸着結果が示されている。膜31は、例えば基板のフラットフィールド33と基板30上の形体32の側壁34及び底面35に亘って、5nm±1.25nmの厚さを有している。
特に高アスペクト比形体における蒸着特性を改善するために、本発明は、露出された基板表面に対する局所的な化学輸送を改善するように蒸着システムおよび作動方法を改善する。露出された基板の表面は材料を蒸着する複数の段階において露出されており、この段階の組み合わせを用いて、材料の組成及び/又は露出された基板表面の幾何学構造が変更される。例えば、蒸着システムはイオン誘導型のコンフォーマルなナノスケール蒸着を利用する。
本発明の実施例によると、薄膜のコンフォーマルな蒸着のためのシステムが開示される。蒸着システムは、高アスペクト比(HAR)形体内部において形体の被覆性が一様であるための条件を提供する。蒸着システム内において、プラズマ源は高密度プラズマ(電子密度n>1012cm−3)を生成する。更には、蒸着システム内において、金属源は金属吸着原子を生成する。プラズマの形成と金属吸着原子の生成は、金属密度(中性の金属原子の密度及び金属イオンの密度を含む)対プラズマ密度(または電子密度やイオン密度)の比が一以下(例えば、nmetal/n=定数≦1)で一定に保持されるような方法で実施される。この条件に合うように蒸着を実施することにより、基板に亘るフラットフィールドの表面及び形体内部の表面のコンフォーマルな被覆が同じ蒸着速度で提供されることを発明者は観測した。更には、例えば、蒸着は基板表面に亘る表面運動モードで生じ、形体側壁での高められた蒸着速度に貢献する。
図1を参照すると、本発明の実施例による蒸着システム100が示されている。蒸着システム100は、プロセスチャンバ110と、プロセスチャンバに結合され基板114を支持するように設計された基板ホルダー112とを備える。更に、蒸着システム100は、プロセスチャンバに結合されプロセスチャンバ110内の処理空間140内にプラズマを形成するように設計されたプラズマ源を備える。更に、蒸着システム100は、プロセスチャンバ110に結合されプロセスチャンバ110の処理空間140に金属吸着原子を導入するように設計された金属源130を備える。蒸着システム100は、プロセスチャンバ110に結合されプロセスチャンバ110の処理空間140に例えば希ガス等の不活性ガスを導入するように設計されたガス注入システム160を更に備えることができる。更に、蒸着システム100は、プロセスチャンバ100と基板ホルダー112とプラズマ源120と金属源130とに結合され、例えばプロセスレシピに従って蒸着システム100の作動、調節、監視、または制御の少なくとも一つを行うように設計された制御システム150を備えることができる。
プラズマの形成及び金属吸着原子の生成は、金属密度対プラズマ密度(または電子密度、またはイオン密度)の比が一以下(例えば、nmetal/n≦1)であるように維持する方法で実施される。例えば、この比を、基板114の表面から離れた少なくとも一点で一以下であるように維持することが可能である。このような条件を満たすことにより、本発明の利点の少なくともいくつかを実現する密度分布が生じる。代わりに、この比の空間分布を基板114の表面に亘って一以下となるように維持することも可能である。代わりに、この比の空間分布を基板114の表面に亘って一以下となるように維持し且つ基板114の表面に亘って±25%の範囲内で実質的に一様であるように維持することも可能である。代わりに、この比の空間分布を基板114の表面に亘って一以下となるように維持し且つ基板114の上側表面に亘って実質的に一定(例えば、nmetal/n≒定数≦1)であるように維持することも可能である。例えば、金属吸着原子密度の空間分布を関数f(x,y)で表し、プラズマ密度の空間分布を関数g(x,y)で表すことが可能である。ここで、x及びyは、基板114の上側表面に平行な2次元の直線的な座標系を表す(図2を参照)。関数f(x,y)は基板114の上側表面に亘って実質的に一定であることが可能であり、関数g(x,y)は基板114の上側表面に亘って実質的に一定であることが可能である。ここで、空間の任意の点(x,y)でのf(x,y)対g(x,y)の比は一以下である。代わりに、関数f(x,y)は基板114の上側表面に亘って一定でなく、関数g(x,y)は基板114の上側表面に亘って一定ではないが、f(x,y)とg(x,y)が同様の関数であり、空間の任意の点(x,y)でのf(x,y)対g(x,y)の比は一以下であるということもある。例えば、関数の類似性は、関数f(x,y)とg(x,y)の数学的な類似性(つまり、形状や曲率等)によって特徴付けることが可能である。代わりに、この比を時間の関数として実質的に不変であるように維持することも可能である。
更に図1を参照すると、プラズマ源120は、例えば無線周波数(RF)発生器等の出力源に結合された電極や、例えば螺旋コイル等の出力源に結合されたコイルアンテナや、RF発生器に結合された他のアンテナを備えることができる。例えば、プラズマ源120は、容量結合プラズマ(CCP)源や、誘導結合プラズマ(ICP)源や、その組み合わせを含むことができる。更には、例えば、副及び大気ICP源は、略(1〜4)×1014cm−3の電子密度nで、略0.2eVから略0.6eVの電子温度で、金属吸着原子のイオン化が100%のプラズマを生成する。代わりに、プラズマ源は、例えば電子温度が低く略1.2×1012cm−3以上の電子密度nの電子ビーム源等の広範囲プラズマを形成可能なプラズマ源、並びに、表面波、ヘリコン、電子サイクロトロン共鳴(ECR)プラズマ源に基づいた高密度フラットプラズマを形成可能なプラズマ源を含むことができる。
金属源130は、例えば、金属吸着原子が処理空間に進入するプロセスチャンバ110の周辺部の周りに分散させることが可能である。例えば、金属ターゲットを、金属源として用いることができる。ターゲットには、直流(DC)または交流(AC)を用いてバイアスを印加することが可能であり、スパッタリング処理を介して金属吸着原子が生成される。代わりに、例えばマグネトロン等の他の金属源を用いることも可能である。例えば、パルスレーザー蒸着、高出力パルスマグネトロンスパッタリング、プラズマ補助スパッタリング技術等を用いることが可能である。更には、例えば、金属源130は複数の金属源を含むことが可能である。複数の金属源を出力源に結合させることが可能である。代わりに、各々の金属源を別々の出力源に独立に結合させることが可能である。代わりに、一つ以上の出力源を用いて、出力を複数の金属源に交互且つ順次に結合させることが可能である。
基板ホルダー112は電極を備えることが可能で、この電極を介して例えばRF出力等のAC出力や、DC出力が基板114に結合される。例えば、基板ホルダー112に、RF発生器からインピーダンスマッチネットワークを通り基板ホルダー112に向かうRF出力の伝達を介して、RF電圧で電気的バイアスを印加することが可能である。RFバイアスは電子を加熱してプラズマを形成及び維持するために用いることができる。代わりに、RFバイアスは、基板の上側表面上に入射するイオンのイオンエネルギーに影響を与えるために用いることができる。RFバイアス用の一般的な周波数は0.1MHzから100MHzの範囲である。プラズマ処理用のRFシステムは当業者には周知である。代わりに、多重周波数でRF出力が基板ホルダーの電極に印加されることもある。更には、インピーダンスマッチネットワークは、反射される出力を減らすことにより、プロセスチャンバ内のプラズマへのRF出力の伝達を改善するために用いることができる。マッチネットワークのトポロジー(例えば、L型、π型、T型等)と自動制御方法は当業者には周知である。
更には、基板ホルダー112は、基板114を基板ホルダー112に電気的に(または機械的に)固定(クランプ)するための静電クランプシステム(または機械クランプシステム)を有することが可能である。例えば、基板ホルダー112は、基板ホルダー112から熱を受け取り熱を熱交換システム(図示せず)に移すような、または加熱時に熱交換システムから熱を移すような再循環冷却剤が流れる冷却システムを更に備えることができる。更には、例えば、熱移動ガスを、ガスシステムの裏側を介して基板114の裏側に送り、基板114と基板ホルダー112の間のガスギャップの熱伝導を改善することができる。基板114の裏側に供給される熱移動ガスとしては例えば、ヘリウムやアルゴンやキセノンやクリプトン等の不活性ガスや、プロセスガス、酸素や窒素や水素等の他のガスが挙げられる。このようなシステムは、高温または低温の下で基板の温度制御が必要とされる場合に用いられる。裏側ガスシステムとしては、例えば2重ゾーン(センター・エッジ)システム等の多重ゾーンガス分布システムが挙げられ、2重ゾーンシステムにおいては、基板114のセンターとエッジの間で独立的に裏側のガスギャップの圧力を変化させることができる。他の実施例においては、例えば耐加熱素子等の加熱/冷却素子や熱電加熱器/冷却器を、基板ホルダー112並びにプロセスチャンバ110のチャンバ壁に備えることが可能である。
更には、制御システム150は、蒸着システム100への入力並びに蒸着システム100からの出力を伝達及び活性化させるのに十分な制御電圧を生成可能なデジタルI/Oポート、メモリ、マイクロプロセッサを備えることが可能である。更には、制御システム150を、プロセスチャンバ110、プラズマ源120、分散型金属源130、ガス注入システム160、真空ポンプシステム(図示せず)に結合させて、情報を交換することが可能である。例えば、メモリに記憶されたプログラムを用いて、蒸着処理を実施するためのプロセスレシピに従った蒸着システム100の上述の構成要素への入力を活性化させることが可能である。制御システム150の一例としては、テキサス州オースチンのデル株式会社から入手可能なDELL PRECISION WORKSTATION610が挙げられる。
図3A及び3Bを参照すると、本発明の実施例による他の蒸着システム200が示されている。蒸着システム200は、図1の蒸着システム100と同様な要素を備えている。しかしながら、蒸着システム200は、金属吸着原子を一様にイオン化するのに十分な一様で制御可能なプラズマ密度を供給するために、2箇所以上の位置に配置された2つ以上のプラズマ源を備えている。例えば、蒸着システム200は、プロセスチャンバ210の下側を取り囲むように結合され処理空間240にプラズマを形成するように設計された第1プラズマ源220と、プロセスチャンバ210の上側を取り囲むように結合され処理空間240にプラズマを形成するように設計された第2プラズマ源222とを備える。
更には、蒸着システム200は、プロセスチャンバ210に結合されプロセスチャンバ210の処理空間240に金属吸着原子を導入するように設計された分散型金属源230を備える。分散型金属源の配置については、図3A及び図3Bに示すようにできる。
図3Aに示すように、蒸着システム200はバッファ装置270を更に備えることが可能である。例えば、プロセスチャンバの一部分は、分散型金属源やプラズマ源を備えるのではなくて、基板の処理速度が異なることを補償するためのバッファ表面270を構成する。バッファ表面270は、凸型、平板型、凹型形状の少なくとも一つを有し、例えばアルミニウム、ステンレス鋼等の導電材料から形成される。バッファ装置270の目的は、例えば、処理空間240内の金属吸着原子の半径方向の分布を補完することである。表面での反応は表面温度に影響を受けやすいため、バッファ表面(バッファ装置270)は、例えば冷却及び/または加熱装置等の温度制御装置を含み、表面温度を制御することができる。更には、例えば、バッファ装置270はDCまたはAC出力源に結合可能である。更には、バッファ装置270は、永久磁石または電磁石を用いて、静磁場や動磁場を生成する磁場構造を有することが可能である。更には、バッファ装置270は並進移動可能である。例えば、バッファ層270は基板214に対して垂直方向に並進移動して、バッファ装置270と基板214との間隔を変更させることができる。
蒸着システム200は、プロセスチャンバ210に結合されプロセスチャンバ210の処理空間240に例えば希ガス等の不活性ガスを導入するように設計されたガス注入システム260を更に備えることができる。更に、蒸着システム200は、プロセスチャンバ210と、基板ホルダー212と、第1プラズマ源220と、第2プラズマ源222と、分散型金属源230とに結合され、例えばプロセスレシピに従って蒸着システム200の作動、調節、監視、または制御の少なくとも一つを行うように設計された制御システム250を備えることができる。
図4を参照すると、本発明の更に他の実施例による蒸着システム300が示されている。蒸着システム300は、金属吸着原子を一様にイオン化するのに十分な一様で制御可能なプラズマ密度を供給するために、2箇所以上の位置に配置された2つ以上のプラズマ源を備えている。例えば、蒸着システム300は、プロセスチャンバ310の下側を取り囲むように結合され処理空間340にプラズマを形成するように設計された第1プラズマ源220と、プロセスチャンバ310の上面に結合及び配置され処理空間340にプラズマを形成するように設計された第2プラズマ源322とを備える。更には、蒸着システム300は、プロセスチャンバ310に結合されプロセスチャンバ310の処理空間340に金属吸着原子を導入するように設計された分散型金属源330を備える。蒸着システム300は、プロセスチャンバ310に結合されプロセスチャンバ310の処理空間340へ例えば希ガス等の不活性ガスを導入するように設計されたガス注入システム360を更に備えることが可能である。更に、蒸着システム300は、プロセスチャンバ310と、基板ホルダー312と、プラズマ源320、322と、分散型金属源330とに結合され、例えばプロセスレシピに従って蒸着システム300の作動、調節、監視、または制御の少なくとも一つを行うように設計された制御システム350を備えることができる。蒸着システム300は上述のようなバッファ装置370を更に備えることが可能である。
更に、図5は、蒸着システム400を制御するための制御システム410を備える蒸着システム400のレイアウトを示す。制御システム410は、プロセスパラメータとハードウェアパラメータを設定するためのユーザーインターフェイス420と、設定されたパラメータに従って蒸着システムを作動させるように設計された主制御部422とを備える。制御システム410は、圧力制御システム432とガス流量制御システム434とガス供給システム438とポンプ制御システム436と作動させるように設計された第1副制御部430を更に備える。制御システム410は更に、プラズマ源出力発生及び制御システム442と蒸着システム冷却及び加熱システム444とを作動させるように設計された第2副制御部440、並びに、分散型金属源DC出力発生及び制御システム448を作動させるように設計された第3副制御部446とを備える。プラズマ源出力発生及び制御システム442と分散型金属源DC出力発生及び制御システム448とは、プロセスチャンバ465に結合され、一つ以上のプラズマ発生素子471、472を有するプラズマ源470と一つ以上の金属源481、482、483を有する分散型金属源480とをそれぞれ作動させるように設計されている。第4副制御部450は基板操作システム452を作動させるように設計されている。第5副制御部454はチャック及びデチャックシステム456を作動させるように設計されている。第6副制御部458は基板ホルダー出力発生及び制御システム460を作動させるように設計されている。更には、一つ以上のセンサーと計測装備を備える診断システム490がプロセスチャンバ465とプラズマ源470と分散型金属源480に結合されて、ユーザーインターフェイス420に作動データを提供するように設計されている。
図5に示すように主制御部422は蒸着システム400に対して近接して配置されてもよいし、蒸着システム400に対して遠隔して配置されてもよい。例えば、直接接続、イントラネット、インターネットの少なくとも一つを用いて、制御部422は蒸着システム400とデータを交換することができる。制御部422は例えば需要者側(つまりデバイスメーカー等)のイントラネットに接続させることが可能であるし、例えば供給者側(つまり装置メーカー)のイントラネットに接続させることも可能である。更には、例えば制御部422はインターネットに接続可能である。更には、他のコンピュータ(つまり、制御部サーバー等)が、例えば直接接続、イントラネット、インターネットの少なくとも一つを介してデータを交換するために、制御部422にアクセス可能である。
図1から図5の蒸着システムにおいては、分散型金属源は、一般的なスパッタリング圧力よりも高いガス圧(典型的には>30mTorr)で熱運動させられるターゲットから金属蒸気の流れを形成する。本発明の進歩性を有する特定の実施例においては、真空プロセスチャンバ内でプラズマや金属蒸気を熱運動させることを用いるイオン誘導型ナノスケール蒸着における金属対プラズマの比率を用いている。適切なICP源により、バルク体積の高密度プラズマと効率的にイオン化された金属が生成される。金属イオンは基板表面に向かって拡散し、プレ被膜と被膜の電圧の差(プラズマのポテンシャルとウェーファのポテンシャルとの間のポテンシャルの違い)により加速される。金属イオン流量速度は高いが、全体としての金属密度が比較的低い表面運動モードにおいて、表面を覆うことが可能である。フラットフィールドでの表面衝突により、再結合した金属イオンがバルクプラズマへと戻される。本形体においては、側壁により、金属イオンのバックグラウンドガスとの電荷交換や、例えばアルゴン等のバックグラウンドガスのペニング励起のせいで形体の底面を逃れる金属に対する捕捉効果が与えられる。この条件の下では、バルクプラズマからのイオンベースの蒸着速度は、形体底面の中性体(neutral)の生成速度よりも高くて、中性体の生成速度はバルクプラズマからの中性体による蒸着速度よりも高い。つまり、コンフォーマル性は、CB/FF≧1である。ここで、B=形体底面、FF=フラットフィールドであり、つまり、CB/FFは形体底面での蒸着速度対フラットフィールドでの蒸着速度の比を表す。底面から反射され再スパッタリングされる金属が、表面運動を介して壁で消費される金属の量よりも少ないと、CSW/FF≧1(SW=形体側壁)となる。
図6を参照すると、高アスペクト比形体の薄膜蒸着方法が記載されている。本方法は、蒸着システム内に基板を配置する段階である510から開始するフローチャート500で示されている。例えば、蒸着システムとしては、上述の図1から図5のいずれかの蒸着システムが挙げられる。
520では、プラズマが、一つ以上のプラズマ源を用いて蒸着システム内に形成される。例えば不活性ガス等のバックグラウンドガスを導入して、イオン化に十分な出力をガスに与えることにより、プラズマが形成可能である。
530では、金属が、一つ以上の金属源から蒸着システムに導入される。
540では、金属を基板上に蒸着するための処理が定められる。ここで、金属密度対プラズマ密度の比は実質的に一に等しいか一以下である。この比率を達成するための処理条件については下記で詳述する。
550では、蒸着システム内に形成された処理条件を用いて、基板上への金属のコンフォーマルな蒸着が実施される。
一つの実施例においては、コンフォーマルな被覆、より詳細には特定の金属対プラズマ密度の比や分布を達成するためのプロセスまたはプロセスウィンドウの範囲を確認する方法として、第一原理シミュレーションや実験またはその両方が行われる。上述のように、多重衝突により素反応を介してエネルギー的に多重構成のプラズマ環境が形成される間に、例えば銅やアルミニウムやタンタルやチタン等の金属蒸気が、例えば希ガス等のバックグラウンドガスが存在するなかで形成され、金属膜が基板上に蒸着される。例えば金属・アルゴンプラズマ等の金属・ガスプラズマにおいては、5つの原子的な構成要素が存在して、異なるエネルギー状態を有しており、その振る舞いが異なる。例えば、これらの粒子を生成する主たる衝突は、以下の通りである。
バルクプラズマ内の親ガス原子との電子の衝突
(1)(電子衝突励起) Ar(g)+e → Ar(g)+e
(2)(電子衝突イオン化) Ar(g)+e → Ar(g)+2e
バルクプラズマ内の金属原子との電子の衝突
(3)(電子衝突励起) M(g)+e → M(g)+e
(4)(電子衝突イオン化) M(g)+e → M(g)+2e
バルクプラズマ内の親ガス原子との金属の衝突
(5)(アルゴンのペニング励起)
(g)+Ar(g)→バルク(g)+Ar(g)
(6)(電荷交換) M(g)+Ar(g)→バルク(g)+Ar(g)
(7)(金属のペニングイオン化)
(g)+Ar(g)→バルク(g)+Ar(g)+e
低密度プラズマ(n<1010cm−3)においては、ペニングイオン化(数式(7)参照)は、金属イオン化衝突の主な原因である。高密度プラズマ(n>1011cm−3)においては、主たる金属イオン化チャネルは電子衝突イオン化(数式(3)参照)である。バルクプラズマ内の電荷粒子の主たる消失チャネルは、壁への両極性拡散と結果として生じる壁表面での再結合である。イオン化金属は、アルゴンプラズマ内の全イオン密度の一部分を構成している。親アルゴン密度に対する金属蒸気密度が比較可能であるならば、両方の構成要素のイオン化ポテンシャルと電子温度によって、比率が決定される(例えば、E(Cu)≒7.724eV、E(Ar)≒15.755eV)。金属のイオン化エネルギーは、任意の電子温度で、アルゴンよりも小さく(相互接続用の他の実用的な金属は銅と比較可能なイオン化ポテンシャルを有しており、例えば、E(Ta)≒7.88eV、E(Al)≒5.984eV)、E(Ti)≒6.82eV等)、金属密度n<nに対しては、n>nの場合よりも多くの金属がイオン化される。
例えば、図7は、銅・アルゴンプラズマに対するグローバル運動モデルから得られた結果を示す。例えば、図7に示された結果は、バルクの高密度プラズマ(p〜65mTorr、n〜1.6×1012cm−3、T〜1.75eV)での金属とアルゴンイオンのイオン化率と、フローティング基板でのバルクの金属密度に対する表面への金属イオンフラックスの率とを反映している。破線(1)は、プラズマ密度(2)を超えた時の金属(Cu)イオンの減少を示す。モデルの出力は、上述の運動プロセス(数式(1)から(7))及び、プラズマ密度と電子温度測定の実験データに基づいている。金属イオン密度を表面での金属イオンのフラックスに変換するために、プラズマのプレ被膜と被膜ポテンシャルの差Vプラズマ−Vバイアスによるボーム速度へのイオンの加速が考慮される。例えば、バイアスを増加させることにより表面への金属イオンのフラックスが増加する。中性金属のフラックスは、加速電圧差には影響を受けない。この結果、基板へのイオン化金属のフラックスの率(3)が、プラズマ内のイオン化金属の率(4)よりも大きくなる。
金属蒸着は、基板表面(または他の表面)でのプラズマ被膜部内で起こり、電子密度の枯渇が生じる(n→0)。更には、電子衝突は被膜部内では生じない。何故ならば、例えば30から100mTorrの圧力下では、イオン・中性体の平均自由行程(1〜3mm)は被膜部の幅よりも大きく(〈Li−n〉 ≧1mm>>d被膜部≒30〜100μm)、従って被膜部は無衝突であるとみなせるからである。更には形体内での中性体のフラックスは、形体表面の点からのアスペクト角が非常に小さいため(図8を参照)、著しく減少させられる。これらの条件下では、表面でのイオン誘導型衝突は、金属の輸送において重要な役割を果たす。表面の存在は、衝突での第3ボディの役割を果たし、表面で衝突する粒子間のエネルギーの移送に対して有利な条件を与える。運動モデルにおいては、下記の表面衝突は蒸着速度に寄与するものと考えられる。
(8)(アルゴンのペニング励起)
(g)+Ar(s)→E,表面(g)+Ar(s)+e(s)
(9)(電荷交換)
(g)+Ar(s)→E,表面(g)+Ar(s)
→M(g)+Ar(s)+e(s)
(10)(金属のペニングイオン化)
(g)+Ar(s)→E,表面(g)+Ar(s)
E,表面(s)+Ar(s)→E,表面(s)+Ar(s)+e(s)
更には、中性金属原子の直接蒸着、加速された金属イオン、表面から反射された金属イオン、基板表面での再スパッタリングも考慮される。
(11)イオン蒸着メカニズム
運動モデルで考慮される全メカニズムが図9A及び9Bに示されている。運動モデルの解により、フラットフィールド(Flat Field)表面上への蒸着速度(D.R.FF)、底面(Bottom)上への蒸着速度(D.R.)、側壁(Sidewall)表面上への蒸着速度((D.R.SW))が、金属密度(n)と、プラズマ密度(n)と、上述の表面衝突の特定の速度の定数との関数として与えられる。
ここで、(Bの付いた)右辺第1項は中性金属による蒸着速度を表す。(Kの付いた)第2項はイオン化金属による蒸着速度を表す。(Y(φ,E)の付いた)第3項は再スパッタリングによる蒸着速度を表す。(Bの付いた)第4項は金属のペニングイオン化による蒸着速度を表す。(Kの付いた)第5項はアルゴンのペニング励起を表す。(Kの付いた)第6項は金属とアルゴンの間の電荷交換を表す。R(φ)は反射係数を表す。nArはバックグラウンドガスのバルク密度を表す。Θ(H)は形体底面での中性体のフラックスの率を表す。Θ(z)はビア側壁での中性体のフラックスの率を表す。Aは形体のアスペクト比を表す。
更には、形体内部での主たる金属の輸送は、高表面衝突周波数での分子レジームにある。用いられる条件下においては、バルクプラズマの衝突周波数に対する表面衝突周波数が比較可能である。更には、形体内部への中性金属の直接蒸着は、形体内部の位置に依存する。
底面対フラットフィールドの被覆率CB/FF=D(n,n)/DFF(n,n)と、側壁対底面の被覆率CSW/B=DSW(n,n)/D(n,n)の解析が表1及び表2に示されている。低金属密度n<nにおいては、表面反応は、張り出しが形成されない形体のコンフォーマルな被覆率CSW/B(n,n)≒1が得られるものであると予測される。金属密度nがn 臨界<n<nという条件を満たし、プラズマ密度nがne(1)≦n≦ne(2)という条件を満たすときに、コンフォーマルな被覆が達成可能である。ここで、n 臨界(U,n,nAr,B,B,K,K,K,R(φ))は表面比の定数、反射係数、プラズマ密度、アルゴンのバックグラウンド圧の関数であり、実際に蒸着される金属の物性に依存する。特に、例えば基板でのバイアス等のプロセスパラメータに影響を受け易く、結果としてn 臨界→nとなる。プラズマ密度は、形体のアスペクト比をパラメータとして含むような同様なパラメータにより決定される。本モデルの最も重要な結論は、CB/FF≒1とCSW/B≒1というプラズマ密度及び金属密度に対して、インターバルやプロセスウィンドウが存在するということである。
プラズマ内の金属率と基板に印加されるバイアス電圧の観点からプロセスを見てみると、高アスペクト比形体のコンフォーマルな被覆に対して、複数のプロセスウィンドウを確認することが出来る(図10Aから図10Hを参照)。例えば、図10Aから10Hには、形体の側壁対底面の被覆率(表面衝突無しの運動モデルが示されており、表面衝突有りの運動モデルも示されている)と、形体の底面対フラットフィールドの被覆率(表面衝突無しの運動モデルが示されており、表面衝突有りの運動モデルも示されている)とが異なるプロセスパラメータに対して示されており、具体的には、(10A)基板バイアスなしで低金属密度;(10B)5%の再スパッタリング率に対応する基板バイアスと低金属密度;(10C)(蒸着速度と比較して)10%の再スパッタリング率に対応する基板バイアスと低金属密度;(10D)15%の再スパッタリング率に対応する基板バイアスと低金属密度;(10E)25%の再スパッタリング率に対応する基板バイアスであり金属密度はプラズマ密度に比較可能;(10F)50%の再スパッタリング率に対応する基板バイアスであり金属密度はプラズマ密度と比較可能;(10G)75%の再スパッタリング率に対応する基板バイアスであり金属密度はプラズマ密度と比較可能;(10H)100%の再スパッタリング率に対応する基板バイアスであり金属密度はプラズマ密度と比較可能となっている。
一実施例によると、コンフォーマルな被覆に対するプロセスウィンドウには、(基板に対する)低バイアス出力、n<0.1n、または好ましくはn≦n 臨界<n(図10A、B、C、Dに様々なバイアス条件で示されている)が含まれる。このプロセスウィンドウにおいては、CSW/B→1であり、CSW/B≧1の時でさえ、バイアス出力が緩やかに上昇すると(再スパッタリング率が上昇すると)、側壁対底面の被覆性がコンフォーマルからオーバーコンフォーマルへと上昇する。全体的には、蒸着速度は比較的低く、バルクプラズマのプラズマ密度を例えばn→1013cm−3またはそれ以上に上昇させることにより、上昇可能である。底面対フラットフィールドの被覆率はCB/FF<0.2と小さく、張出しは形成されない。
他の実施例においては、コンフォーマルな被覆に対するプロセスウィンドウには、(基板に対する)中バイアス出力、0.1≦|(n−n)/n|≦1または好ましくはn 臨界/n≦|(n−n)/n|≦1(図10E、F、Gに様々なバイアス条件で示されている)が含まれる。このプロセスウィンドウにおいては、CSW/B≦1であり、CSW/B≒CB/FFと比較可能である。CSW/BとCB/FFの両方は金属源の生成速度により制御可能である。プロセスウィンドウは低バイアスに対して大きく、高バイアス条件においては小さくなる。張り出しの形成率は比較的低いままである。
他の実施例においては、コンフォーマルな被覆に対するプロセスウィンドウには、(基板に対する)高バイアス出力、n≧n(図10G、Hに様々なバイアス条件で示されている)が含まれる。金属密度のスケールにおいては、このプロセスウィンドウは、低バイアス出力に対する第1プロセスウィンドウに類似している。
この領域は、側壁の被覆に影響を与えずに底面の被覆を高めるような応用に適用することができる。また、低バイアス出力のプロセスウィンドウで行われるプロセスに引き続いて行うことにより、最適化された側壁対底面の被覆と高められた底面対フラットフィールドの被覆とを、張り出し構造を形成せずに組み合わせられる。このプロセスウィンドウにおいては、コンフォーマル性はCSW/B→0となる。
他の実施例においては、コンフォーマルな被覆に対するプロセスウィンドウには、n>n(より効果的にはn>>n)が含まれ、蒸着速度が上昇したために張り出しが形成されることが特徴的である。このプロセスウィンドウは従来のPVDやiPVD処理において利用されているが、張り出しのせいで、高アスペクト比形体に対しては被覆性がよくない。
更に他の実施例においては、プラズマ密度が妥当な蒸着速度を得るのに十分な程高くて、n>n、例えばn≒1012→1013cm−3またはそれ以上という条件を満たすようにプロセス条件が選ばれる。例えば、略n→1013cm−3というプラズマ密度により、ナノスケール蒸着において、略10〜100nm/minという十分な蒸着速度が得られる。
更には、分散型金属源は、衝突が少ないということや張り出しが形成されるということを減少または無くして、基板上の任意の位置で高イオン化されたn<nという条件を満たす最適化された量の金属を移送することができる。分散型金属源は、その幾何学構造とその働き(小さな金属源はあまりプラズマ源に結合されていない)により、高イオン化を提供することができ、従って、高い一様性を提供することができる。
更には、他のプロセス条件には、n/n=定数≦1及びn≧n 臨界が含まれる。基板全体に亘って金属密度対プラズマ密度の比を一定に保つことにより、コンフォーマルな被覆での表面反応の条件が与えられ、従って、基板に亘る形体または構造の一様な被覆が達成される。
上述の運動モデルの結果に従うと、形体の最適な被覆に対するプロセスレシピの一つには、第1プロセスウィンドウ(低バイアス出力)と第3プロセスウィンドウから引き出された条件が含まれる。例えば、金属源の生成が遅く、基板のバイアスをバイアスが印加されていない条件から中バイアス条件へと変化させること(例えばバイアス出力をプラスする)により、このプロセスレシピが与えられる。数1012cm−3の密度で30から100mTorrの圧力の一般的なICPプラズマ(300mmウェーハの金属被膜に対してイオン化金属を用いる)に対しては、1011cm−3以下の金属密度(例えば、これはDC出力からターゲットへの1〜4kWの出力で達成される)は十分に低く、例えば第1または第3プロセスウィンドウ等の表面衝突モードで作動させることが可能であると考えられる。この条件では、プロセス時に基板のバイアスは2つのレベルを有し、バイアスが印加されていない基板ホルダーから、バイアスが印加されていない時の蒸着速度の20%以下であるフラットフィールドの正味の蒸着速度に対応するようなレベルのバイアスが印加された基板ホルダーまでの範囲内にある。例えば、基板のバイアス出力は、0Wから数百ワットまでの範囲である。
他のプロセスレシピには、中バイアスの条件(つまり、第2プロセスウィンドウ、中バイアス出力)で作動させることが含まれ、金属源の生成はn 臨界/n ≦ |(n−n)/n| ≦ 1という条件を満たすように予め設定され、つまり金属密度がプラズマ密度の範囲に近い。例えば、フラットフィールドの正味の蒸着速度は、バイアスが印加されていない条件での蒸着速度の50%から80%の範囲である(例えば、基板のバイアス出力の範囲は略300Wから800Wである)。更には、例えばターゲットに供給されるDC出力は略2から6kWの範囲であり、プラズマ密度と比較可能な全金属密度を生成する。
本発明の特定の実施例についてのみ上記では詳述したが、当業者は本発明の新規な教示及び利点から著しく逸脱しないで本発明の実施例の多数の修正が可能であるということに容易に想到しよう。従って、そのような変形の全ては本発明の範囲に含まれているものである。
本発明の実施例による蒸着システムの概略的な図である。 基板の上側表面に対して平行な座標系である。 本発明の他の実施例による蒸着システムの概略的な図である。 図3Aの蒸着システムの上面図である。 本発明の他の実施例による蒸着システムの概略的な図である。 本発明の他の実施例による蒸着システムの概略的な図である。 本発明の実施例による高アスペクト比形体にコンフォーマルな金属蒸着を実施するための方法を示す図である。 高密度プラズマ内の金属及びバックグラウンドガスイオンの例示的なイオン化率を示す図である。 形体の内部の点からのアスペクト角を示す図である。 アスペクト比2.25の三次元形体内部の金属の中性体の規格化されたフラックスの例示的な表面プロットである。 アスペクト比8の三次元形体内部の金属の中性体の規格化されたフラックスの例示的な表面プロットである。 フラットフィールド内部のイオン誘導型ナノスケール蒸着の蒸着速度及び被覆に寄与する蒸着メカニズムおよびプロセスの例示的な図である。 形体内部のイオン誘導型ナノスケール蒸着の蒸着速度及び被覆に寄与する蒸着メカニズムおよびプロセスの例示的な図である。 第1プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第2プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第3プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第4プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第5プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第6プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第7プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 第8プロセス条件に対する形体の側壁対底面の被覆率及び形体の底面対フラットフィールドの被覆率を示す図である。 金属密度の関数として被覆率を示す例示的なプロセスウィンドウを示す図である。 従来技術の方法によるバリア層が蒸着したコンタクトビアの断面図である。 本発明による方法及び装置の実施例によるバリア層が蒸着したコンタクトビアの断面図である。
符号の説明
100、200、300 蒸着システム
110、210、310 プロセスチャンバ
112、212、312 基板ホルダー
114、214、314 基板
120、220、222、320、322 プラズマ源
130、230、330 金属源
140、240、340 処理空間
150、250、350 制御システム
160、260、360 ガス注入システム
270、370 バッファシステム

Claims (55)

  1. 基板上の高アスペクト比形体内に薄膜を形成するための蒸着システムであり、
    プロセスチャンバと、
    前記プロセスチャンバに結合され前記基板を支持するように設計された基板ホルダーと、
    前記プロセスチャンバに結合され前記プロセスチャンバ内にプラズマを形成するように設計されたプラズマ源と、
    前記プロセスチャンバに結合され前記プロセスチャンバに金属を導入するように設計された金属源とを備え、
    前記プラズマ源及び前記金属源とは前記基板上の金属密度対プラズマ密度の比が一以下となるように設計されている蒸着システム。
  2. 前記プロセスチャンバに結合され不活性ガスを導入するように設計されたガス注入システムを更に備える請求項1に記載の蒸着システム。
  3. 前記不活性ガスは希ガスを含む請求項2に記載の蒸着システム。
  4. 前記プラズマ密度は電子密度またはイオン密度により特徴付けられる請求項1に記載の蒸着システム。
  5. 前記比は前記基板の表面に亘って実質的に一様である請求項1に記載の蒸着システム。
  6. 前記比は時間の関数として変化する請求項1に記載の蒸着システム。
  7. 前記基板上の前記プラズマ密度の空間分布がf(x,y)で表され、前記金属密度の空間分布がg(x,y)で表され、x及びyは前記基板の表面に平行な2次元の直線的な座標系を表しており、前記関数g(x,y)は前記関数f(x,y)に実質的に類似している請求項1に記載の蒸着システム。
  8. 前記プラズマ源は一つ以上のプラズマ生成素子を含む請求項1に記載の蒸着システム。
  9. 前記一つ以上のプラズマ生成素子は、容量結合プラズマ生成素子、誘導結合プラズマ生成素子、ヘリコンプラズマ生成素子、電子サイクロトン共鳴プラズマ生成素子、表面波プラズマ生成素子から成るグループから選択される請求項8に記載の蒸着システム。
  10. 前記プラズマ源は電極または螺旋コイルの少なくとも一つまたはその両方を含む請求項1に記載の蒸着システム。
  11. 前記プラズマ源は高密度プラズマを生成するように設計された請求項1に記載の蒸着システム。
  12. 前記プラズマ源は1012cm−3を越えるプラズマ密度を生成するように設計された請求項1に記載の蒸着システム。
  13. 前記プラズマ源は第1プラズマ生成素子と第2プラズマ生成素子とを含み、前記基板上の前記プラズマ密度の空間分布の調節または制御の少なくとも一つを実施するために前記第1プラズマ生成素子及び前記第2プラズマ生成素子が前記プロセスチャンバに結合された請求項1に記載の蒸着システム。
  14. 前記第1プラズマ生成素子は前記プロセスチャンバの周辺部の下側部分に結合されており、前記第2プラズマ生成素子は前記プロセスチャンバの周辺部の上側部分に結合されている請求項13に記載の蒸着システム。
  15. 前記第1プラズマ生成素子は前記プロセスチャンバの周辺部の下側部分に結合されており、前記第2プラズマ生成素子は前記プロセスチャンバの上側表面に結合されている請求項13に記載の蒸着システム。
  16. 前記金属源は、前記基板上の前記金属密度の空間分布の調節または制御の少なくとも一つを実施するように設計された分散型金属源を含む請求項1に記載の蒸着システム。
  17. 前記分散型金属源は前記プロセスチャンバの周辺部に配置された一つ以上の金属ターゲットを含む請求項16に記載の蒸着システム。
  18. 前記一つ以上の金属ターゲットは直流(DC)出力源に結合された請求項16に記載の蒸着システム。
  19. 前記一つ以上の金属ターゲットは出力源に結合された複数のターゲットを含み、前記出力源は、前記複数のターゲットに交互且つ順次に出力を与えるように設計された請求項16に記載の蒸着システム。
  20. 前記金属源は直流(DC)出力源に結合された金属ターゲットを含む請求項1に記載の蒸着システム。
  21. 前記金属源はアルミニウム源、銅源、タンタル源、チタン源の少なくとも一つを有する請求項1に記載の蒸着システム。
  22. 前記プロセスチャンバに結合され前記プロセスチャンバ内の前記基板上の前記金属密度または前記プラズマ密度の少なくとも一つを調節するように設計されたバッファ層を更に備える請求項1に記載の蒸着システム。
  23. 前記バッファ装置は前記プロセスチャンバの上側表面に結合された請求項22に記載の蒸着システム。
  24. 前記バッファ装置は平板型形状、凸型形状、凹型形状の少なくとも一つを有する請求項22に記載の蒸着システム。
  25. 前記バッファ装置は直流(DC)出力または交流(AC)出力の少なくとも一つで電気的にバイアスが印加される請求項22に記載の蒸着システム。
  26. 前記バッファ装置に結合され前記プロセスチャンバ内の前記基板上の前記金属密度または前記プラズマ密度の少なくとも一つを調節するように設計された磁石システムを更に備える請求項22に記載の蒸着システム。
  27. 前記磁石システムは永久磁石のアレイまたは電磁石の少なくとも一つを含む請求項26に記載の蒸着システム。
  28. 前記磁石システムは回転する磁石システムまたは静止した磁石システムの少なくとも一つを含む請求項26に記載の蒸着システム。
  29. 前記バッファ装置は並進移動可能な請求項22に記載の蒸着システム。
  30. 前記バッファ装置は前記基板に対して実質的に垂直方向に並進移動する請求項29に記載の蒸着システム。
  31. 前記バッファ装置は冷却システム、加熱システムまたはその両方に結合された請求項22に記載の蒸着システム。
  32. 前記プロセスチャンバに結合され前記プロセスチャンバ内の基板上の前記金属密度または前記プラズマ密度の少なくとも一つを調節するように設計された磁石システムを更に備える請求項1に記載の蒸着システム。
  33. 前記磁石システムは永久磁石のアレイまたは電磁石の少なくとも一つを含む請求項32に記載の蒸着システム。
  34. 前記磁石システムは回転する磁石システムまたは静止した磁石システムの少なくとも一つを含む請求項32に記載の蒸着システム。
  35. 前記基板ホルダーは前記基板に電気的バイアスを印加するように設計された請求項1に記載の蒸着システム。
  36. 前記基板ホルダーは無線周波数(RF)発生器に結合された請求項35に記載の蒸着システム。
  37. 前記プロセスチャンバと前記基板ホルダーと前記プラズマ源と前記金属源とに結合され前記蒸着システムの調節、監視、制御の少なくとも一つを実施するように設計された制御システムを更に備える請求項1に記載の蒸着システム。
  38. 前記プロセスチャンバは略1mTorrから100mTorrの範囲の圧力を発生させるように設計され、前記プラズマ源は略1012cm−3に等しいかこれを越えるプラズマ密度を生成するように設計され、前記金属源は直流(DC)出力源に結合され略1から4kWのDC出力を用いて略1012cm−3に等しいかこれを越える金属密度を生成するように設計され、前記基板ホルダーは無線周波数(RF)発生器に結合され略0から1000WのRF出力で前記基板にバイアスを印加するように設計された請求項1に記載の蒸着システム。
  39. 前記プロセスチャンバは略1mTorrから100mTorrの範囲の圧力を発生させるように設計され、前記金属源は直流(DC)出力源に結合され略2から6kWのDC出力を用いて前記プラズマ源により生成される前記プラズマ密度に実質的に等しい金属密度を生成するように設計され、前記基板ホルダーは無線周波数(RF)発生器に結合され略300から800WのRF出力で前記基板にバイアスを印加するように設計された請求項1に記載の蒸着システム。
  40. 前記プロセスチャンバは略1mTorrから100mTorrの範囲の圧力を発生させるように設計され、前記金属源は直流(DC)出力源に結合され略1から6kWのDC出力を用いて前記プラズマ源により生成される前記プラズマ密度に実質的に等しい金属密度を生成するように設計され、前記基板ホルダーは無線周波数(RF)発生器に結合され略0から1000WのRF出力で前記基板にバイアスを印加するように設計された請求項1に記載の蒸着システム。
  41. 基板上の高アスペクト比形体内に金属薄膜を蒸着する方法であり、
    蒸着システム内の基板ホルダー上に前記基板を配置する段階と、
    プラズマ源を用いて前記蒸着システム内部に一つのプラズマ密度を有するプラズマを形成する段階と、
    金属源を用いて前記蒸着システム内部に一つの金属密度を有する金属を導入する段階と、
    略1以下である前記基板上の前記金属密度対前記プラズマ密度の比を定める段階と、
    前記基板の前記形体内部にプラスマイナス25パーセント内の一様性を有するコンフォーマルな蒸着を実施する段階とを備える方法。
  42. 前記比を定める段階は前記プラズマ源を用いて前記プラズマ密度を調節する段階を含む請求項41に記載の方法。
  43. 前記比を定める段階は前記金属源を用いて前記金属密度を調節する段階を含む請求項41に記載の方法。
  44. 前記基板上の前記比を定める段階は前記基板上の前記比を局所的に定める段階を含む請求項41に記載の方法。
  45. 前記基板上の前記比を局所的に定める段階は前記比を一時的な方法で定める段階を含む請求項44に記載の方法。
  46. 前記基板上の前記比を定める段階は前記比を一時的な方法で定める段階を含む請求項41に記載の方法。
  47. 前記コンフォーマルな蒸着を実施する段階は前記基板上の最大の厚さが前記形体の幅の半分以下である膜を蒸着する段階を含む請求項41に記載の方法。
  48. 前記コンフォーマルな蒸着を実施する段階は前記基板上の最大の厚さが前記形体の幅の略10分の1以下である膜を蒸着する段階を含む請求項47に記載の方法。
  49. 基板上の高アスペクト比形体内に薄膜を蒸着する方法であり、
    蒸着システム内の基板ホルダー上に前記基板を配置する段階と、
    一つのイオン化ポテンシャルを有するコーティング材料のコーティング材料源を前記蒸着システムに提供する段階と、
    前記コーティング材料のイオン化ポテンシャルよりも大きなイオン化ポテンシャルを有するプロセスガスを前記蒸着システム内に導入する段階と、
    プラズマ源を用いて前記蒸着システム内部に一つのプラズマ密度を有するプラズマを前記プロセスガスと共に形成する段階と、
    前記コーティング材料源を用いて前記蒸着システム内部に一つのコーティング材料密度を有するコーティング材料を導入する段階と、
    前記コーティング材料密度対前記プラズマ密度の一以上ではない比を定める段階と、
    前記基板上の前記形体内部にプラスマイナス25%内の一様性を有する前記コーティング材料のコンフォーマルな蒸着を実施する段階とを備える方法。
  50. 前記比は前記基板の表面に亘って実質的に一様である請求項49に記載の方法。
  51. 前記プラズマ源は1012cm−3を越えるプラズマ密度を生成するように設計された請求項49に記載の方法。
  52. 前記コンフォーマルな蒸着を実施する段階は前記基板上の最大の厚さが前記形体の幅の半分以下である膜を蒸着する段階を含む請求項49に記載の方法。
  53. 前記コンフォーマルな蒸着を実施する段階は前記基板上の最大の厚さが前記形体の幅の略10分の1以下である膜を蒸着する段階を含む請求項49に記載の方法。
  54. 請求項49に記載の方法を実施するための手段を備える基板上の高アスペクト比形体内に薄膜を形成するための蒸着システム。
  55. 前記方法を実施するための手段は、
    プロセスチャンバと、
    前記プロセスチャンバに結合され前記基板を支持するように設計された基板ホルダーと、
    前記プロセスチャンバに結合され前記プロセスチャンバ内にプラズマを形成するように設計されたプラズマ源と
    前記プロセスチャンバに結合され前記プロセスチャンバに前記コーティング材料を導入するように設計されたコーティング材料源を備える請求項54に記載の蒸着システム。
JP2007515151A 2004-05-26 2005-05-12 プラズマ処理の方法及び装置 Withdrawn JP2008500456A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/854,607 US20050266173A1 (en) 2004-05-26 2004-05-26 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
PCT/US2005/016628 WO2005118905A1 (en) 2004-05-26 2005-05-12 Method and apparatus of plasma processing

Publications (2)

Publication Number Publication Date
JP2008500456A true JP2008500456A (ja) 2008-01-10
JP2008500456A5 JP2008500456A5 (ja) 2008-06-26

Family

ID=34969666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007515151A Withdrawn JP2008500456A (ja) 2004-05-26 2005-05-12 プラズマ処理の方法及び装置

Country Status (7)

Country Link
US (2) US20050266173A1 (ja)
EP (1) EP1771592A1 (ja)
JP (1) JP2008500456A (ja)
KR (1) KR20070024643A (ja)
CN (1) CN1957104A (ja)
TW (1) TWI279851B (ja)
WO (1) WO2005118905A1 (ja)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7269526B2 (en) * 2005-05-04 2007-09-11 Hitachi Global Storage Technologies Netherlands B.V. Aggregated run-to-run process control for wafer yield optimization
US7888165B2 (en) 2008-08-14 2011-02-15 Micron Technology, Inc. Methods of forming a phase change material
US7834342B2 (en) 2008-09-04 2010-11-16 Micron Technology, Inc. Phase change material and methods of forming the phase change material
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FR2943092B1 (fr) * 2009-03-13 2011-04-15 Snecma Aube de turbine avec un trou de depoussierage en base de pale
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9249498B2 (en) 2010-06-28 2016-02-02 Micron Technology, Inc. Forming memory using high power impulse magnetron sputtering
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
RU2520785C1 (ru) * 2013-02-26 2014-06-27 Открытое акционерное общество "Научно-производственное объединение "Сатурн" Ступень турбины гтд с отверстиями отвода концентрата пыли от системы охлаждения
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103634960A (zh) * 2013-12-06 2014-03-12 阳泉市新鑫科技研究所有限责任公司 等离子氦气和氙气高压气体发热装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TW201717247A (zh) * 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN105945262B (zh) * 2016-05-09 2018-12-04 广东富行洗涤剂科技有限公司 一种酸性除壳模剂
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
DE3803355A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Teilchenquelle fuer eine reaktive ionenstrahlaetz- oder plasmadepositionsanlage
JP2605088B2 (ja) * 1988-03-19 1997-04-30 富士通株式会社 三極マグネトロンスパッタリング装置
JPH02225667A (ja) * 1989-02-27 1990-09-07 Tokuda Seisakusho Ltd スパッタ装置
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
DE3929695C2 (de) * 1989-09-07 1996-12-19 Leybold Ag Vorrichtung zum Beschichten eines Substrats
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH06181187A (ja) * 1992-12-11 1994-06-28 Hitachi Ltd スパッタリング装置
KR960026261A (ko) * 1994-12-14 1996-07-22 제임스 조셉 드롱 재 도입형 콘택 홀을 피복시키거나 또는 충진시키기 위한 방법 및 장치
US5770025A (en) * 1995-08-03 1998-06-23 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering apparatus
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US6340417B1 (en) * 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
JPH10251849A (ja) * 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5976334A (en) * 1997-11-25 1999-11-02 Applied Materials, Inc. Reliable sustained self-sputtering
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6231725B1 (en) * 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6306265B1 (en) * 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
JP2001035839A (ja) * 1999-05-18 2001-02-09 Hitachi Kokusai Electric Inc プラズマ生成装置および半導体製造方法
US6143140A (en) * 1999-08-16 2000-11-07 Applied Materials, Inc. Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6610184B2 (en) * 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing

Also Published As

Publication number Publication date
US20050266173A1 (en) 2005-12-01
KR20070024643A (ko) 2007-03-02
EP1771592A1 (en) 2007-04-11
CN1957104A (zh) 2007-05-02
US8092658B2 (en) 2012-01-10
TWI279851B (en) 2007-04-21
US20080026574A1 (en) 2008-01-31
WO2005118905A1 (en) 2005-12-15
TW200605194A (en) 2006-02-01

Similar Documents

Publication Publication Date Title
JP2008500456A (ja) プラズマ処理の方法及び装置
US7588667B2 (en) Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US7901545B2 (en) Ionized physical vapor deposition (iPVD) process
US7700474B2 (en) Barrier deposition using ionized physical vapor deposition (iPVD)
US8858763B1 (en) Apparatus and methods for deposition and/or etch selectivity
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
KR100878103B1 (ko) 순차적 증착 및 에칭에 의한 이온화된 pvd
US7892406B2 (en) Ionized physical vapor deposition (iPVD) process
US8449731B1 (en) Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) Use of ultra-high magnetic fields in resputter and plasma etching
JP2004526868A5 (ja)
KR20010030504A (ko) 스퍼터된 도핑 씨드 층을 형성하는 방법 및 장치
KR20010012516A (ko) 구리 금속공정용 신뢰성 베리어 인테그레이션
Klawuhn et al. Ionized physical-vapor deposition using a hollow-cathode magnetron source for advanced metallization
US6200433B1 (en) IMP technology with heavy gas sputtering
US20090242385A1 (en) Method of depositing metal-containing films by inductively coupled physical vapor deposition
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
US6528180B1 (en) Liner materials
KR19980070835A (ko) 유도 결합 플라즈마를 가지는 챔버에서 스퍼터링동안 측벽 커버리지를 개선하기 위한 방법 및 장치
KR20010051740A (ko) 시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법
US7642201B2 (en) Sequential tantalum-nitride deposition
CN116065121A (zh) Pvd方法及设备

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080508

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080508

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090424