CN1957104A - 等离子体处理的方法和装置 - Google Patents
等离子体处理的方法和装置 Download PDFInfo
- Publication number
- CN1957104A CN1957104A CNA2005800169413A CN200580016941A CN1957104A CN 1957104 A CN1957104 A CN 1957104A CN A2005800169413 A CNA2005800169413 A CN A2005800169413A CN 200580016941 A CN200580016941 A CN 200580016941A CN 1957104 A CN1957104 A CN 1957104A
- Authority
- CN
- China
- Prior art keywords
- plasma
- substrate
- deposition system
- metal
- density
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/04—Coating on selected surface areas, e.g. using masks
- C23C14/046—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
Abstract
描述了一种沉积系统(100)及其操作方法,该沉积系统和方法用于利用高密度等离子体在大高宽比特征结构中沉积保形金属或其他具有类似响应性的涂覆材料膜。沉积系统包括分别用于形成等离子体和将金属蒸气引入到沉积系统(100)的等离子体源(120)和分布式金属源(130)。沉积系统被配置为形成具有某一等离子体密度的等离子体并生成具有某一金属密度的金属蒸气,其中衬底附近的金属密度对等离子体密度的比值小于或等于1。该比值应当至少存在于距离衬底(114)的表面为大约20%的衬底直径的距离内。希望获得在所述衬底的整个表面基本具有± 25%的均匀性的比值。该比值对于超过1012cm-3的等离子体密度尤其有效,并且对于在具有纳米量级特征结构的衬底上沉积膜的应用尤其有效,其中最大膜厚小于特征结构宽度的一半,例如为特征结构宽度的20%。
Description
技术领域
本发明涉及用于薄膜沉积的方法和装置,更具体而言,本发明涉及用于在衬底上的大高宽比特征结构中的保形薄膜沉积的方法和装置。
背景技术
在用于集成电路(IC)的层间和层内连线的半导体衬底上的大高宽比(HAR)过孔和接触以及沟槽的金属化中,阻挡层和晶种层的沉积一般要求具有足够的侧壁和底部覆盖度以产生所需的阻挡或晶种性质。例如,通常希望使阻挡层尽可能地薄,以便使其电阻最小化;然而,一定不能牺牲其阻挡性质。另外,例如,阻挡层必须是保形的和连续的而不能有空洞,以便防止晶种层材料扩散到介电层和其他层中,以防止可靠性问题。当薄膜的最大和最小厚度之间的差在某个可接受的工艺限度内时,该薄膜是保形的。当最大和最小膜厚之间的比值较小时,保形性较好。
对于HAR特征结构的金属化,离子化物理气相沉积(iPVD)已用在半导体处理中,并且其已被考虑扩展到亚微米技术。离子化PVD提供了在过孔和沟槽结构中良好的侧壁和底部覆盖度;然而,随着几何尺寸的收缩和过孔尺寸低于约100纳米,沉积需求变得更加严格。即使特征结构开口(或顶部)处的小悬臂(overhang)也被认为是对足够的特征结构覆盖度的严重威胁。此外,悬臂的产生也被认为对特征结构的形状敏感,并且该效应对工艺的总产率有影响。
通常,互连制作中薄层的保形沉积利用当前的传统处理变得非常困难的,因而,产生了非常差的阶梯覆盖度,阶梯覆盖度有时被定量地定义为特征结构侧壁覆盖厚度对特征结构在其下延伸的衬底开阔区域或水平表面中的覆盖厚度的比值,该比值越高(接近1),就越好。例如,金属层(用作粘附、阻挡和/或晶种层)的物理气相沉积(PVD)(如溅射)需要在沟槽和/或接触过孔的所有表面上有至少约5nm(纳米)的覆盖,从而在大高宽比特征结构中进行的金属PVD需要更多的沉积在衬底顶面上,以产生过孔底部的充分覆盖。用于双镶嵌方案的典型的现有技术沟槽和接触结构需要在衬底20的水平平坦开阔区域27上有约50nm的PVD金属膜21,如图12A所示,以便使5nm的金属24到达接触过孔23的底部和侧壁。由于PVD技术的方向性,沉积趋向于更快地积累在沟槽和/或过孔的上部拐角25处(与底部26相比)。作为沉积材料快速建立在结构的上表面上的结果(其占据了大部分的导线宽度),这些建立的拐角随后遮住了对特征结构的下部的沉积。
在采用iPVD的当前IC互连技术中(见Rossnagel,“Directional andiPVD for microelectronics applications”,J.Vac.Sci.Technol.B16(5),2585-2608,1998),若干种方法已包括顺序或原位沉积和蚀刻工艺以消除悬臂(见美国专利No.6,100,200,4,999,096,和6,274,008以及美国专利申请2003/0034244)。然而,发明人观察到,传统的工艺条件仍然导致了某些不希望出现的效果,其可以包括悬臂和过孔的封闭、金属层的不连续性、低沉积速率和产量限制。在某些方法中,可能需要独立的沉积和蚀刻系统,这涉及衬底转移问题,由于未受保护的内部线圈而可能发生污染,并且不均匀的等离子体生成和随之而来的不均匀的蚀刻仅仅只是当前实践所面临的一些额外问题。而且,发明人还观察到,只考虑衬底表面处的重溅射效应没有完全防止悬臂的建立。
用于过孔和沟槽的金属化的其他技术例如包括开发用于某些金属和金属氮化物的化学气相沉积(CVD)工艺,相比于PVD工艺,CVD工艺可以表现出改进的阶梯覆盖度,然而,即使是CVD工艺也趋向于在双镶嵌接触过孔的底部沉积比结构的上表面和侧壁少得多的材料。因而,尽管在某种程度上相对于PVD有了改进,但是双镶嵌结构的CVD阶梯覆盖在大多数当前已知的低温CVD技术下仍然是不平坦的。提出用于金属互连的保形覆盖的更新的方法包括原子层沉积(ALD)(见美国专利No.6,699,783)。通常,ALD包括交替的反应物阶段循环,其中每个阶段都有自限制效应。然而,ALD工艺有前驱体在高压和室温下的可用性的问题。
总的来说,发明人观察到,当前的金属化技术不能消除特征结构的悬臂,因此,最终将不能提供足够的HAR特征结构的覆盖度以用于日益先进的IC。
发明内容
本发明的一个目的是减少或消除上述问题中的任何一个或全部。本发明的另一个目的是提供一种沉积材料的方法以改进大高宽比(HAR)特征结构内的覆盖特性。
根据本发明的原理,描述了一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统,包括:处理室;耦合到处理室并且被配置为支撑衬底的衬底夹持器;耦合到处理室并且被配置为在处理室内形成等离子体的等离子体源;以及耦合到处理室并且被配置为将金属引入到处理室的金属源,其中等离子体源和金属源被配置为在衬底上方产生小于1的金属密度和等离子体密度之间的比值。
根据本发明的另一个方面,一种在衬底上的大高宽比特征结构中沉积薄金属膜的方法包括:在沉积系统中的衬底夹持器上放置衬底;利用等离子体源在沉积系统内形成具有某一等离子体密度的等离子体;利用金属源在沉积系统内引入具有某一金属密度的金属;在衬底上方建立金属密度对等离子体密度的比值,所述比值约等于或小于1;以及在衬底上的特征结构内执行保形沉积。
尽管本发明主要针对金属的沉积,但是应当预期到,其可以应用于其他涂覆材料的膜沉积,这些其他涂覆材料的性能有利地响应于本发明的原理。例如,可以相信,本发明尤其可用于金属的电离电势小于背景处理气体的电离电势的情况下的金属沉积。因此,电离电势小于背景气体的电离电势的涂覆材料应当受益于本发明的原理的应用。在处理气体例如是氩的情况下,可以预料到电离电势小于氩的电离电势的涂覆材料尤其将受益于本发明。利用具有较高电离电势的背景气体应当能够将本发明扩展到更多材料。本发明到其他材料的扩展可以分析地或经验地确定。
附图说明
在附图中:
图1示出了根据本发明实施例的沉积系统的示意图;
图2图示了与衬底的上表面平行的坐标系统;
图3A示出了根据本发明另一个实施例的沉积系统的示意图;
图3B图示了在图3A中所示的沉积系统的顶视图;
图4示出了根据本发明另一个实施例的沉积系统的示意图;
图5示出了根据本发明另一个实施例的沉积系统的示意图;
图6表示根据本发明实施例的用于在大高宽比特征结构中执行金属的保形沉积的方法;
图7表示在高密度等离子体中金属和背景气体离子的示例性离子化比例;
图8A图示了从特征结构的内部点处的视角;
图8B表示高宽比为2.25的三维特征结构内部的金属的归一化中性粒子通量的示例性表面图;
图8C表示高宽比为8的三维特征结构内部的金属的归一化中性粒子通量的示例性表面图;
图9A提供了在平面内的离子激励的纳米量级沉积中对沉积速率和覆盖度有贡献的沉积机制和工艺的示意性图示;
图9B提供了在特征结构内的离子激励的纳米量级沉积中对沉积速率和覆盖度有贡献的沉积机制和工艺的示意性图示;
图10A表示在第一工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10B表示在第二工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10C表示在第三工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10D表示在第四工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10E表示在第五工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10F表示在第六工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10G表示在第七工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图10H表示在第八工艺条件下特征结构的侧壁比底部的覆盖度和特征结构的底部比开阔区的覆盖度;
图11图示了将覆盖度表示为金属密度的函数的示例性工艺窗口;
图12A是具有根据现有技术的方法沉积的阻挡层的接触过孔的截面图;以及
图12B是具有根据本发明的方法和装置的某些实施例沉积的阻挡层的接触过孔的截面图。
具体实施方式
在下面的描述中,为了帮助对本发明的完全理解和出于说明而非限制的目的,给出了特定细节,如沉积系统的具体几何形状以及等离子体源和分布式金属源的各种形状。然而,应当理解,本发明也可以在脱离这些特定细节的其他实施例中实现。
但是,应当意识到,在描述中除了说明了常用概念的创造性特征以外,还包含了作为创造性特征的特征。
例如,本公开文件的创造性方面包括提供一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统和方法,其中等离子体源耦合到处理室,并且被配置为在处理室中形成大于1012e/cm3(每立方厘米的电子数)的高密度等离子体,金属源耦合到处理室,并且被配置为将金属引入到处理室,其中金属原子和金属离子的总密度小于等离子体密度。
作为另一个示例,本公开文件的创造性方面包括提供一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统和方法,其中等离子体源和金属源耦合到处理室,并且被配置为在处理室中形成等离子体,其中所述处理室具有在整个衬底表面和衬底表面附近小于等离子体密度的金属密度。作为又一示例,创造性方面包括提供一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统和方法,其中等离子体源和金属源耦合到处理室,并且被配置为在处理室中形成等离子体,其中所述处理室具有在整个衬底表面和衬底表面附近小于等离子体密度的金属密度,并且总金属密度对等离子体密度的比值在整个衬底表面相对均匀。
作为包含上述特征以及其他创造性方面的又一示例,可以提供一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统和方法,其使用等离子体源和金属源,等离子体源耦合到处理室,并且被配置为形成大于1012e/cm3的高密度等离子体,金属源耦合到处理室,并且被配置为将金属引入到处理室,其中金属原子和金属离子的总密度对等离子体密度的比值在0.1和1.0之间,并且在整个衬底表面和衬底表面附近基本是均匀的。作为又一示例,还包括附加的创造性方面,即提供了一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统和方法,其使用等离子体源和金属源,等离子体源耦合到处理室,并且被配置为形成大于1012e/cm3的高密度等离子体,金属源耦合到处理室,并且被配置为将金属引入到处理室,其中金属原子和金属离子的总密度对等离子体密度的比值在0.1和1.0之间,并且在贯穿从衬底表面向等离子体延伸(并且包括等离子体鞘、等离子体鞘和近鞘、等离子体鞘和近鞘以及至少某些近鞘内的等离子体,或者包括衬底直径的大约1/4的厚度)的空间中在整个衬底表面和衬底表而附近基本是均匀的。
在下面所述的各种实施例的描述中以及在所附权利要求中包含了其他示例。
利用本发明的某些实施例实现的优点的示例在图12B中示出,图12B示出了在具有大高宽比(例如7∶1)特征结构(如65nm宽的过孔32)的衬底30上沉积膜31的结果。膜31可以例如在衬底的平坦开阔区33上以及在衬底30上的特征结构32的侧壁34和底部35上具有5nm±1.25nm的厚度。
为了改进尤其是在大高宽比特征结构中的沉积特性,本发明改进了一种沉积系统和操作方法以实现对暴露衬底表面本地的化学运输的改进。暴露的衬底表面被暴露于材料沉积步骤,这些步骤的组合用来改变暴露衬底表面的材料成分和/或地形。例如,沉积系统可被认为是采用了离子激励的保形纳米量级沉积。
根据本发明的实施例,描述了一种用于薄膜的保形沉积的系统。该沉积系统提供了用于大高宽比(HAR)特征结构中的高度均匀特征结构覆盖的条件。在沉积系统内,等离子体源产生高密度等离子体(即,其中电子密度,ne,>1012cm-3)。另外,在沉积系统内,金属源产生金属吸附原子。等离子体的形成和金属吸附原子的生成以这样的方式执行:维持小于或等于1的金属密度(包括中性金属原子的密度和金属离子的密度)对等离子体密度(或电子密度,或离子密度)的恒定比值(例如,nmetal/ne=const≤1)。发明人观察到,满足该条件执行沉积以相同沉积速率提供了整个衬底上的平坦开阔区表面和特征结构内部表面的保形覆盖。另外,沉积例如在整个衬底表面上以表面动力学模式进行,并且对特征结构侧壁处增加的速率有贡献。
现在参考图1,图1示出了根据本发明实施例的沉积系统100。沉积系统100包括处理室110和耦合到处理室110并被配置为支撑衬底114的衬底夹持器112。另外,沉积系统100包括耦合到处理室110并被配置为在处理室110内的处理空间140中形成等离子体的等离子体源120。另外,沉积系统100包括耦合到处理室110并被配置为将金属吸附原子引入到处理室110内的处理空间140的金属源130。沉积系统100还可以包括耦合到处理室110并被配置为将例如稀有气体的惰性气体引入到处理室110内的处理空间140的气体注入系统160。可选地,沉积系统100还可以包括耦合到处理室110、衬底夹持器112、等离子体源120和金属源130的控制系统150,其中其可被配置为根据例如处理工艺执行操作、调节、监视或控制沉积系统100中的至少一种操作。
等离子体的形成和金属吸附原子的生成以这样一种方式执行:金属密度对等离子体密度(或电子密度或离子密度)的比值维持小于或等于1(例如,nmetal/ne≤1)。例如,该比值可以针对空间中并且与衬底114的表面间隔开的至少一点被维持小于或等于1。满足这一条件将导致这样一种密度分布,该密度分布将允许实现本发明的至少某些优点。或者,可以维持在衬底114的整个表面上小于或等于1的比值的空间分布。或者,可以维持在衬底114的整个表面上小于或等于1的该比值的空间分布,并且其可以在衬底114的整个表面上维持基本均匀,或者在±25%内。或者,可以维持在衬底114的整个表面上小于或等于1的该比值的空间分布,并且其可以在衬底114的整个上表面上维持基本恒定(例如,nmetal/ne≈const≤1)。例如,金属吸附原子密度的空间分布可由函数f(x,y)表示,并且等离子体密度的空间分布可由函数g(x,y)表示,其中x和y表示与衬底114的上表面平行的两维直线坐标系统(见图2)。函数f(x,y)可以在衬底114的整个上表面上是基本恒定的,并且函数g(x,y)可以在衬底114的整个上表面上是基本恒定的,其中在空间中的任何点(x,y)处的f(x,y)对g(x,y)的比值小于或等于1。或者,函数f(x,y)在衬底114的整个上表面上不是均匀的,并且函数g(x,y)在衬底114的整个上表面上不是均匀的;然而,f(x,y)和g(x,y)是类似的函数,并且在空间中的任何位置(x,y)处的f(x,y)对g(x,y)的比值小于或等于1。例如,函数的相似性可以表征为函数f(x,y)和g(x,y)的数学相似性(即,形状、曲率等)。或者,作为时间的函数,该比值可以维持基本不变。
参考图1,等离子体源120可以包括耦合到电源(如射频(RF)发生器)的电极、或者耦合到电源的线圈天线,如螺旋线圈或耦合到RF发生器的其他天线。例如,等离子体源120可以包括电容耦合等离子体(CCP)源、或电感耦合等离子体(ICP)源、或其组合。另外,例如,子ICP源和大气ICP源生成电子密度约为ne≈(1-4)×1014cm-3、电子温度从约~0.2eV到约0.6eV且金属吸附原子100%离子化的等离子体。或者,等离子体源120可以包括能够产生大面积等离子体的源,如具有低电子温度和电子密度约为ne≈1.2×1012cm-3以及以上的电子束源,以及那些能够基于表面波、螺旋波产生高密度偏平等离子体的源,或者电子回旋共振(ECR)等离子体源。
金属源130可以例如围绕处理室110的周长分布,金属吸附原子从金属源130进入处理空间140。例如,金属标靶可用作金属源。标靶可以利用直流(DC)或交流(AC)偏置以通过溅射工艺生成金属吸附原子。或者,可以使用其他金属源,如磁电管。例如,可以采用脉冲激光沉积、高能脉冲磁控溅射、等离子体辅助溅射技术等。另外,例如,金属源130可以包括多个金属源。这多个金属源可以耦合到电源。或者,每个金属源可以独立耦合到单独的电源。或者,功率可以利用一个或多个电源交替地、顺序地耦合到这多个金属源。
衬底夹持器112可以包括电极,AC功率(如RF功率)或DC功率通过该电极耦合到衬底114。例如,衬底夹持器112可以经由从RF发生器通过阻抗匹配网络发送到衬底夹持器112的RF功率电偏置在某一RF电压。RF偏置可用来加热电子以形成并维持等离子体。或者,RF偏置可用来影响入射在衬底的上表面上的离子能量。RF偏置的一般频率可以从0.1MHz到100MHz。用于等离子体处理的RF系统是本领域技术人员公知的。或者,RF功率以多个频率被施加到衬底夹持器的电极。此外,阻抗匹配网络可用来通过减少反射功率提高到处理室中的等离子体的RF功率传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法是本领域技术人员公知的。
另外,衬底夹持器112可以包括静电夹紧系统(或机械夹紧系统)以将衬底114电(或机械)夹紧到衬底夹持器112。此外,衬底夹持器112例如还可以包括具有再循环冷却剂流的冷却系统,该再循环冷却剂流接收来自衬底夹持器112的热,并将热传到热交换器系统(未示出),或者在加热时传送来自热交换器系统的热。而且,传热气体可以例如经由背面气体系统被传递到衬底114的背面,以提高衬底114和衬底夹持器112之间的气体间隙热导。例如,被提供到衬底112背面的传热气体可以包括惰性气体(如氦、氩、氙、氪)、处理气体或其他气体(如氧气、氮气或氢气)。该系统可用在当需要对衬底进行温度控制以升高或降低温度时。例如,背面气体系统可以包括多区气体分布系统,如两区(中心一边缘)系统,其中背面气体间隙压强可以在衬底114的中心和边缘之间独立变化。在其他实施例中,加热/冷却元件(如电阻加热元件)或热电加热器/冷却器可被包括在衬底夹持器112以及处理室110的室壁内。
此外,控制系统150可以包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以与沉积系统100通信并激活到沉积系统100的输入,以及监视来自沉积系统100的输出。而且,控制系统150可以耦合到处理室110、等离子体源120、分布式金属源130、气体注入系统160和真空泵系统(未示出)并可以与之交换信息。例如,存储在存储器中的程序可用于根据处理工艺激活到沉积系统100的前述组件的输入以执行沉积工艺。控制系统150的一个示例包括可以从Texas,Austin的DellCorporation得到的DELL PRECISION WORKSTATION 610TM。
现在参考图3A和3B,图3A和3B示出了根据本发明实施例的另一个沉积系统200。沉积系统200包括与图1中描述的沉积系统100相似的元件。然而,沉积系统200包括提供在两个或更多个位置处的两个或更多个等离子体源,以提供均匀和可控的等离子体密度分布,该分布足以使金属吸附原子均匀地离子化。例如,沉积系统200包括第一等离子体源220和第二等离子体源222,第一等离子体源220耦合到处理室210的下部部分并包围该部分,并被配置为在处理空间240中形成等离子体,第二等离子体源222耦合到处理室210的上部部分并包围该部分,并被配置为在处理空间240中形成等离子体。
另外,沉积系统200包括耦合到处理室210并被配置为将金属吸附原子引入到处理室210内的处理空间240的分布式金属源230。分布式金属源230的位置可以如图3A和图3B所示。
如图3A所示,沉积系统200还可以包括缓冲设备270。例如,处理室210的一部分不包含分布式金属源或等离子体源,但是其构成了缓冲表面以补偿衬底上的不同处理速率。缓冲表面270可以包括凸起、平面或凹进形状中的至少一种,并且其可以由导电材料制作,如铝、不锈钢等。缓冲设备270的目的可以例如是补充处理空间240中金属吸附原子的径向分布。由于表面反应对表面温度是敏感的,因此缓冲表面(缓冲设备270)可以包含温度控制元件,如冷却和/或加热设备,以控制其表面温度。另外,例如,缓冲设备270可以连接到DC或AC电源。另外,缓冲设备270可以包含磁场结构,该磁场结构利用永磁体或电磁体生成静态或动态的磁场。另外,缓冲设备270可以是可平移的。例如,缓冲设备270可以沿垂直于衬底214的方向平移,以改变缓冲设备270和衬底214之间的间距。
衬底系统200还可以包括耦合到处理室210并被配置为将诸如稀有气体的惰性气体引入到处理室210内的处理空间240的气体注入系统260。可选地,衬底系统200还可以包括耦合到处理室210、衬底夹持器212、第一等离子体源220、第二等离子体源222和分布式金属源230的控制器250,其中其可被配置为根据例如处理工艺执行操作、调节、监视或控制沉积系统200中的至少一种操作。
现在参考图4,图4示出了对应于本发明另一个实施例的另一个沉积系统300。沉积系统300包括提供在两个或更多个位置处的两个或更多个等离子体源,以提供均匀和可控的等离子体密度分布,该分布足以使金属吸附原子均匀地离子化。例如,沉积系统300包括第一等离子体源320和第二等离子体源322,第一等离子体源320耦合到处理室310的下部部分并包围该部分,并被配置为在处理空间340中形成等离子体,第二等离子体源322耦合到处理室310并定位在处理室310上方,并被配置为在处理空间340中形成等离子体。另外,沉积系统300包括耦合到处理室310并被配置为将金属吸附原子引入到处理室310内的处理空间340的分布式金属源330。沉积系统300还可以包括耦合到处理室310并被配置为将诸如稀有气体的惰性气体引入到处理室310内的处理空间340的气体注入系统360。可选地,衬底系统300还可以包括耦合到处理室310、衬底夹持器312、等离子体源320和322以及分布式金属源330的控制器350,其中其可被配置为根据例如处理工艺执行操作、调节、监视或控制沉积系统300中的至少一种操作。沉积系统300还可以包括如上所述的缓冲设备370。
另外,例如,图5图示了具有用于控制沉积系统400的控制系统410的沉积系统400的布局。控制系统410包括用于设置工艺参数和硬件参数的用户界面420和被配置为根据设置参数操作沉积系统的主控制器422。控制系统410还包括被配置为操作压强控制系统432、气体流量控制系统434和气体供应系统438以及泵系统436的第一子控制器430。另外,控制系统410包括被配置为操作等离子体源功率发生器和控制系统442和沉积系统冷却和加热系统444的第二子控制器440,以及被配置为操作分布式金属源DC功率发生器和控制系统448的第三子控制器446。等离子体源功率发生器和控制系统442和分布式金属源DC功率发生器和控制系统448耦合到处理室465,并被配置为分别操作具有一个或多个等离子体生成元件471、472的等离子体源470和具有一个或多个金属源481、482、483的分布式金属源480。第四子控制器450被配置为操作衬底处置系统452,第五子控制器454被配置为操作夹持和解夹持系统456,第六子控制器458被配置为操作衬底夹持器功率发生器和控制系统460。此外,具有一个或多个传感器和仪器的诊断系统490可以耦合到处理室465、等离子体源470和分布式金属源480,并被配置为向用户界面420提供操作数据。
如图5所示,主控制器422可以相对于沉积系统400位于本地,或者其可以远离沉积系统400。例如,控制器422可以利用直接连接、内联网和互联网中的至少一种与沉积系统400交换数据。控制器422可以耦合到例如在客户位置(即,器件制造商等)处的内联网,或者其可以耦合到例如在供应商位置(即,设备制造商)处的内联网。另外,例如,控制器422可以耦合到互联网。此外,另一个计算机(即,控制器、服务器等)可以例如访问控制器422以经由直接连接、内联网和互联网中的至少一种交换数据。
在图1到5所述的沉积系统中,分布式金属源可以从标靶产生金属蒸气通量,标靶被在高于典型的溅射压强的气体压强(一般>30mTorr)下加热。本发明的创造性实施例在离子激励纳米量级沉积中采用了所述的金属对等离子体比值,其中,该沉积在真空处理室中使用了热化的等离子体或金属蒸气。合适的ICP源在大体积中产生高密度等离子体和有效的金属离子化。金属离子向衬底表面扩散,并且通过鞘前和鞘中的电压梯度(等离子体电势和晶片电势之间的电势差)被加速。在高金属离子通量比例但是相对较低的总金属密度的条件下,表面覆盖可以以在表面动力学模式进行。由于平坦开阔区(flat field)处的表面碰撞,重新组合后的金属离子返回到本体等离子体。在特征结构内,侧壁对由于背景气体(如氩)的彭宁(Penning)激发和金属离子与背景气体的电荷交换而逃离特征结构的底部的金属提供了捕捉效应。在这些条件下,从本体等离子体基于离子的沉积速率大于在特征结构的底部处的中性粒子生成速率,并且中性粒子生成速率大于从本体等离子体通过中性粒子的沉积速率;即,保形性大于CB/FF≥1(B=特征结构底部,FF=平坦开阔区;即,CB/FF表示在特征结构底部处的沉积速率对平坦开阔区处的沉积速率的比值)。当从底部反射和重新溅射出的金属小于通过表面反应在壁处消耗的金属量时,CSW/B≥1(SW=特征结构侧壁)。
现在参考图6,图6描述了在大高宽比特征结构中沉积薄膜的方法。该方法包括流程图500,流程图500开始于510,在510中,在沉积系统中沉积衬底。例如,该沉积系统可以包括上述图1至5中的任何一种沉积系统。
在520中,利用一个或多个等离子体源在沉积系统中形成等离子体。可以通过引入背景气体(如惰性气体)并将足以进行电离的功率耦合到气体来形成等离子体。
在530中,金属被从一个或多个金属源引入到沉积系统。
在540中,建立用于在衬底上沉积金属的工艺,其中金属密度对等离子体密度的比值基本等于或小于1。例如,用于实现该比值的工艺条件在下面更详细地描述。
在550中,利用在沉积系统中形成的工艺条件执行衬底上金属的保形沉积。
在一个示例中,确定用于实现保形覆盖的工艺界限或工艺窗口(更具体而言,特定的金属对等离子体密度比和其分布)的方法可以产生于初始的原理仿真,或者实验,或这两者。如上所述,在衬底上沉积金属膜,其中在存在背景气体(如稀有气体)的条件下形成金属蒸气,如铜、铝、钽、钛等,在这期间多次的碰撞通过基元反应产生了能量上的多组分等离子体环境。在金属-气体等离子体(如金属-氩等离子体)中,可以存在五种原子组分,其包括不同的能量状态并且性质不同。例如,产生这些粒子的主要碰撞是:
电子与本体等离子体中的母体气体原子碰撞:
(1)(电子撞击激发)
(2)(电子撞击离子化)
电子与本体等离子体中的金属原子碰撞:
(3)(电子撞击激发)
(4)(电子撞击离子化)M(g)+e-→M+(g)+2e-
金属与本体等离子体中的母体气体原子碰撞:
(5)(氩的彭宁激发)
(6)(电荷交换)
(7)(金属的彭宁离子化)
在低密度等离子体(ne<1010cm-3)中,彭宁离子化(见公式7)负责大多数金属-离子化碰撞。在高密度等离子体(ne>1011cm-3)中,主要的金属离子化途径是电子撞击离子化(见公式3)。本体等离子体中的带电粒子的主要损耗途径是向壁的双极扩散和由此导致的在壁表面处的重组合。离子化的金属构成了氩等离子体中的全部离子密度的一定的比例。当金属蒸气密度可与母体氩密度相当时,该比例由电子温度和这两种组分的电离电势(例如,Ei(Cu)≈7.724eV,Ei(Ar)≈15.755eV)确定。由于对于金属密度nM<ne,在给定电子温度下,金属的电离电势小于氩的电离电势(其他用于互连的实用金属与铜相比具有相当的电离电势,例如,Ei(Ta)≈7.88eV,Ei(Al)≈5.984eV,Ei(Ti)≈6.82eV等),因此相比于nM>ne的情形,可以离子化更大比例的金属。
例如,图7表示对于铜-氩等离子体由全局动力学模型得到的结果。例如,图7中表示的结果反映了本体高密度等离子体中金属和氩离子的离子化比例(p~65mTorr,ne~1.6×1012cm-3,Te~1.75eV)以及对于浮动衬底处的体金属密度到表面的金属离子通量比例。虚线(1)示出了当超过等离子体密度(2)时金属(Cu)离子密度的减少。模型输出是基于上述的动力学过程(公式(1)至(7))以及关于等离子体密度和电子温度测量的实验数据的。为了将金属离子密度转换为表面处的金属离子通量,考虑通过等离子体鞘前和鞘电势差Vplasma-Vbias引起的对Bohm速度的离子加速的情形。例如,偏置的增大可以增加到表面的金属离子通量。中性金属的通量不受加速电压梯度的影响。该结果导致到衬底的离子化金属通量的比例(3)大于等离子体中离子化金属(4)的比例。
金属沉积发生在衬底表面(或任何其他表面)处的等离子体鞘内,其中发生电子密度的耗尽(ne→0)。另外,在鞘内没有电子撞击碰撞发生,这是因为例如在30到100mTorr的压强下,离子-中性粒子平均自由程(1-3mm)大于鞘的宽度(<Li-n>≥1mm>>dsheath≈30-100μm),从而鞘可被认为是无碰撞的。而且,特征结构内的中性粒子通量明显减小,这是由于从特征结构表面上的某点看非常小的视角造成的(见图8)。在这些条件下,在表面处的离子激励碰撞在金属运输中扮演了重要角色。表面的存在在碰撞中扮演了第三主体的角色,并且为表面处的碰撞粒子之间的能量传送提供了有利的条件。在动力学模型中,假定下面的表面碰撞可以对沉积速率有贡献:
(8)(氩的彭宁激发)
(9)(电荷交换)
(10)(金属的彭宁离子化)
另外,还考虑到中性金属原子的直接沉积、加速的金属离子、来自表面的反射金属离子和衬底表面的重溅射。
(11)(离子沉积机制)
在动力学模型中考虑的全部机制在图9A和9B中示意性地示出。动力学模型的解提供了涉及金属密度(nM)、等离子体密度(ne)和上述表面碰撞的特定速率常数的平坦开阔区表面(D.R.FF)、底部(D.R.B)和侧壁(D.R.SW)表面上的沉积速率:
(12)
D.R.FF(ne,nM)≈B1nM+K1nenM-Y(_,E)ne+B3nenMnAr-K2nenMnAr-K3ne 2nMnAr
(13)
D.R.B(ne,nM)≈Θ(H)B1nM+K1nenM[1-R(_B)]-Y(_,E)ne+
+Θ(H)2B3nenMnAr-Θ(H)K2nenMnAr-Θ(H)K3ne 2nMnAr
(14)
其中右手侧的第一项(具有B1)描述了由于中性金属引起的沉积速率,第二项(具有K1)描述了由于离子化金属引起的沉积速率,第三项(具有Y(_,E))描述了由于重溅射率引起的沉积速率,第四项(具有B3)描述了由于金属的彭宁离子化引起的沉积速率,第五项(具有K2)描述了氩的彭宁激发,第六项(具有K3)描述了金属和氩之间的电荷交换,R(_B)表示反射系数,nAr表示背景气体本体密度,Θ(H)表示特征结构底部处的中性粒子通量比例,Θ(z)表示过孔侧壁处的中性粒子通量比例,AR是特征结构的高宽比。
另外,特征结构内部的主要金属运输是具有高表面碰撞频率的分子模式。在使用条件下,表面碰撞频率可与本体等离子体碰撞频率相当。此外,特征结构内部的中性金属的直接沉积可以取决于特征结构内部的位置。
底部比平坦开阔区的覆盖度CB/FF=DB(ne,nM)/DFF(ne,nM)和侧壁比底部的覆盖度CSW/B=DSW(ne,nM)/DB(ne,nM)的分析在表1和表2中示出。在金属密度减小(nM<ne)的情况下,表面反应预示了特征结构的保形覆盖CSW/B(ne,nM)≈1,而不会有悬臂产生。保形覆盖可以在金属密度nM满足条件
并且等离子体密度ne满足关系ne(1)≤ne≤ne(2)时实现。这里,nM critical(Ub,ne,nAr,B1,B3,K1,K2,K3,R(_B))是表面速率常数、反射系数、等离子体密度和氩背景压强的复合函数,并且其可以取决于沉积的实际金属的物理性质。具体而言,其可以对工艺参数(如衬底处的偏置)是敏感的,作为结果,其使得
等离子体密度可以由类似的参数以复合的方式确定,包括以特征结构的高宽比作为参数。该模型的最重要结论是对于金属密度和等离子体密度存在间隔或工艺窗口,其中CB/FF≈1并且CSW/B≈1。
从基于等离子体中的金属比例和向衬底施加的偏置电压的工艺角度看,对于大高宽比特征结构的保形覆盖可以确定出若干个工艺窗口(见图10A至10H)。例如,图10A至10H表示如下的在不同工艺参数下特征结构的侧壁比底部的覆盖度(图示了没有表面碰撞的动力学模型,还图示了具有表面碰撞的动力学模型)和特征结构的底部比平坦开阔区的覆盖度(图示了没有表面碰撞的动力学模型,还图示了具有表面碰撞的动力学模型),即:(10A)没有衬底偏置,低金属密度;(10B)衬底偏置对应于5%的重溅射率,低金属密度;(10C)衬底偏置对应于10%的重溅射率(相对于沉积速率),低金属密度;(10D)衬底偏置对应于15%的重溅射率,低金属密度;(10E)衬底偏置对应于25%的重溅射率,金属密度可与等离子体密度相当;(10F)衬底偏置对应于50%的重溅射率,金属密度可与等离子体密度相当;(10G)衬底偏置对应于75%的重溅射率,金属密度可与等离子体密度相当;和(10H)衬底偏置对应于100%的重溅射率,金属密度可与等离子体密度相当。
根据一个实施例,用于保形覆盖的工艺窗口包括低偏置功率(对衬底)和nM<0.1ne或优选地nM≤nM,critical<ne(以各种偏置条件在图10A、B、C、D中示出)。在该工艺窗口内,CSW/B→1,并且即使当CSW/B≥1时,偏置功率的适度增加(重溅射率的增加)也可以增大从保形到过保形的侧壁比底部的覆盖度。总的说来,沉积速率是相对较低的,并且其可以通过增大本体等离子体中的等离子体密度来增大,即,ne→1013cm-3以及更高。底部比平坦开阔区的覆盖度很低,CB/FF<0.2,并且不产生悬臂。
表1
根据另一个实施例,用于保形覆盖的工艺窗口包括中等偏置功率(对衬底)和
或优选地
(以各种偏置条件在图10E、F、G中示出)。在该工艺窗口内,CSW/B≤1,并且其相当于CSW/B≈CB/FF。沉积速率是合理的。CSW/B和CB/FF都可由金属源生成速率控制。工艺窗口对于较低偏置较大,而在较高偏置条件下收缩。悬臂形成速率仍然相对较低。
根据另一个实施例,用于保形覆盖的工艺窗口包括高偏置功率(对衬底)和nM≥ne(以各种偏置条件在图10G、H中示出)。在所述金属密度量级上,该工艺窗口类似于低偏置功率的第一工艺窗口。
侵蚀 | C<0 | 没有物理解nM<0 | 没有物理解nM<0 |
表2
该区域可应用于增强底部覆盖而不影响侧壁覆盖的应用。其还可以与操作在低偏置功率工艺窗口下的工艺相继使用,以便在不产生悬臂结构情况下将优化的侧壁比底部的覆盖度与增强的底部比平坦开阔区的覆盖度相结合。在该工艺窗口中保形性CSW/B→0。
根据另一个实施例,用于保形覆盖的工艺窗口包括nM>ne(或者在nM>>ne时更为有效),其特征在于由于增加的沉积速率而引起的悬臂形成。该工艺窗口由当前的PVD和iPVD工艺所使用;然而,由于悬臂,其没有为大高宽比特征结构提供好的覆盖度。
在另一个实施例中,选择工艺条件,使得等离子体密度足够高以允许合理的沉积速率,并且满足条件ne>nM,例如,ne≈1012→1013cm-3甚至更高。例如,大约ne→1013cm-3的等离子体密度可以为纳米量级沉积提供足够的沉积速率~10-100nm/min。
另外,分布式金属源可以传递满足条件nM<ne的优化的金属量,该金属在衬底上方的任意位置处被高度离子化,并且减少甚至消除了零星撞击和悬臂的形成。分布式金属源可以提供更高的离子化,因而,通过几何尺寸和操作提供更高的均匀性(小的金属源较少地耦合到等离子体源)。
另外,另一种工艺条件包括nmetal/ne=const≤1和
维持整个衬底上方金属密度对等离子体密度的恒定比值可以提供用于保形覆盖模式内的表面反应的条件,从而可以实现整个衬底上的特征结构或结构的均匀覆盖。
根据上述动力学模型的结果,一种用于最优特征结构覆盖的工艺方案可以包括从第一(低偏置功率)和第三工艺窗口中提取的条件。例如,可以通过低金属源生成,并将衬底偏置从无偏置状况改变为中等偏置状况(例如,使偏置功率脉冲化)来提供该工艺方案。对于密度为若干1012cm-3、压强从30到100mTorr的典型的ICP等离子体(使用离子化金属进行300mm晶片的金属化),≤1011cm-3的金属密度(例如,这可以通过从DC电源传送到标靶的1-4kW来实现)可被认为是足够低的以操作在表面碰撞模式中,例如在第一或第三工艺窗口内。在这些条件下,衬底偏置在工艺期间可以具有两个水平,这两个水平都落在从无偏置衬底夹持器到其水平对应于在小于无偏置沉积速率的20%的平坦开阔区处的净沉积速率的偏置衬底夹持器的范围内。例如,衬底偏置功率的范围可以从0W到几百W。
另一种工艺方案包括操作在中等偏置条件下(即,第二工艺窗口,中等偏置功率),并且金属源生成被预设为遵守条件
或者换句话说,金属密度接近于等离子体密度的范围。例如,平坦开阔区处的净沉积速率处于无偏置条件下的沉积速率的50%到80%的范围内(例如,衬底偏置功率范围从约300W到800W)。另外,例如,提供给标靶的DC功率可以范围从约2到6kW,以便生成可与等离子体密度相当的总金属密度。
尽管上面只详细描述了本发明的某些示例性实施例,但是本领域技术人员将会容易地意识到,可以在示例性实施例中进行许多修改,而不实质上脱离本发明的新颖教导和优点。因此,所有这些修改都应当被包括在本发明的范围内。
Claims (55)
1.一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统,包括:
处理室;
耦合到所述处理室并且被配置为支撑所述衬底的衬底夹持器;
耦合到所述处理室并且被配置为在所述处理室内形成等离子体的等离子体源;以及
耦合到所述处理室并且被配置为将金属引入到所述处理室的金属源,
其中所述等离子体源和所述金属源被配置为在所述衬底上方产生小于1的金属密度和等离子体密度之间的比值。
2.如权利要求1所述的沉积系统,还包括:
耦合到所述处理室并且被配置为引入惰性气体的气体注入系统。
3.如权利要求2所述的沉积系统,其中所述惰性气体包括稀有气体。
4.如权利要求1所述的沉积系统,其中所述等离子体密度由电子密度或离子密度表征。
5.如权利要求1所述的沉积系统,其中所述比值在所述衬底的整个所述表面上是基本均匀的。
6.如权利要求1所述的沉积系统,其中所述比值作为时间的函数变化。
7.如权利要求1所述的沉积系统,其中所述衬底上方的所述等离子体密度的空间分布由f(x,y)表示,所述金属密度的空间分布由g(x,y)表示,并且x和y表示与所述衬底的所述表面平行的两维直线坐标系统,其中所述函数g(x,y)是与所述函数f(x,y)基本相似的函数。
8.如权利要求1所述的沉积系统,其中所述等离子体源包括一个或多个等离子体生成元件。
9.如权利要求8所述的沉积系统,其中所述一个或多个等离子体生成元件选自由以下元件构成的组合:电容耦合等离子体生成元件、电感耦合等离子体生成元件、螺旋波等离子体生成元件、电子回旋共振等离子体生成元件和表面波等离子体生成元件。
10.如权利要求1所述的沉积系统,其中所述等离子体源包括电极或螺旋线圈中的至少一种或这两者。
11.如权利要求1所述的沉积系统,其中所述等离子体源被配置为产生高密度等离子体。
12.如权利要求1所述的沉积系统,其中所述等离子体源被配置为产生超过1012cm-3的等离子体密度。
13.如权利要求1所述的沉积系统,其中所述等离子体源包括第一等离子体生成元件和第二等离子体生成元件,其中所述第一生成元件和所述第二生成元件耦合到所述处理室以执行调节或控制所述衬底上方的所述等离子体密度的空间分布中的至少一种操作。
14.如权利要求13所述的沉积系统,其中所述第一等离子体生成元件耦合到所述处理室的下部部分的外围,并且所述第二等离子体生成元件耦合到所述处理室的上部部分的外围。
15.如权利要求13所述的沉积系统,其中所述第一等离子体生成元件耦合到所述处理室的下部部分的外围,并且所述第二等离子体生成元件耦合到所述处理室的上表面。
16.如权利要求1所述的沉积系统,其中所述金属源包括被配置为执行调节或控制所述衬底上方的所述金属密度的空间分布中的至少一种操作的分布式金属源。
17.如权利要求16所述的沉积系统,其中所述分布式金属源包括围绕所述处理室的外围布置的一个或多个金属标靶。
18.如权利要求16所述的沉积系统,其中所述一个或多个金属标靶耦合到直流(DC)电源。
19.如权利要求16所述的沉积系统,其中所述一个或多个金属标靶包括耦合到电源的多个标靶,所述电源被配置为交替地、顺序地给所述多个标靶中的每一个加电。
20.如权利要求1所述的沉积系统,其中所述金属源包括耦合到直流(DC)电源的金属标靶。
21.如权利要求1所述的沉积系统,其中所述金属源包括铝、铜、钽或钛中的至少一种的源。
22.如权利要求1所述的沉积系统,还包括:
耦合到所述处理室并且被配置为调节所述处理室中的所述衬底上方的所述金属密度或所述等离子体密度中的至少一种的缓冲设备。
23.如权利要求22所述的沉积系统,其中所述缓冲设备耦合到所述处理室的上表面。
24.如权利要求22所述的沉积系统,其中所述缓冲设备包括扁平、凸起或凹进形状中的至少一种。
25.如权利要求22所述的沉积系统,其中所述缓冲设备利用直流(DC)或交流(AC)电源中的至少一种被电偏置。
26.如权利要求22所述的沉积系统,还包括:
耦合到所述缓冲设备并且被配置为调节所述处理室中的所述衬底上方的所述金属密度或所述等离子体密度中的至少一种的磁体系统。
27.如权利要求26所述的沉积系统,其中所述磁体系统包括永磁体阵列或电磁体中的至少一种。
28.如权利要求26所述的沉积系统,其中所述磁体系统包括旋转磁体系统或固定磁体系统中的至少一种。
29.如权利要求22所述的沉积系统,其中所述缓冲设备是可平移的。
30.如权利要求29所述的沉积系统,其中所述缓冲设备沿基本垂直于所述衬底的方向平移。
31.如权利要求22所述的沉积系统,其中所述缓冲设备耦合到冷却系统、加热系统或这两者。
32.如权利要求1所述的沉积系统,还包括:
耦合到所述处理室并且被配置为调节所述处理室中的所述衬底上方的所述金属密度或所述等离子体密度中的至少一种的磁体系统。
33.如权利要求32所述的沉积系统,其中所述磁体系统包括永磁体阵列或电磁体中的至少一种。
34.如权利要求32所述的沉积系统,其中所述磁体系统包括旋转磁体系统或固定磁体系统中的至少一种。
35.如权利要求1所述的沉积系统,其中所述衬底夹持器被配置为电偏置所述衬底。
36.如权利要求35所述的沉积系统,其中所述衬底夹持器耦合到射频(RF)发生器。
37.如权利要求1所述的沉积系统,还包括:
耦合到所述处理室、所述衬底夹持器、所述等离子体源和所述金属源的控制系统,其被配置为执行调节、监视或控制所述沉积系统中的至少一种操作。
38.如权利要求1所述的沉积系统,其中所述处理室被配置为产生范围从约1mTorr到100mTorr的压强,所述等离子体源被配置为产生约等于或超过1012cm-3的所述等离子体密度,所述金属源耦合到直流(DC)电源并且被配置为利用约1到4kW的DC功率产生约等于或小于1012cm-3的所述金属密度,所述衬底夹持器耦合到射频(RF)发生器并且被配置为利用约0到1000W的RF功率偏置所述衬底。
39.如权利要求1所述的沉积系统,其中所述处理室被配置为产生范围从约1mTorr到100mTorr的压强,所述金属源耦合到直流(DC)电源并且被配置为利用约2到6kW的DC功率产生约等于由所述等离子体源产生的所述等离子体密度的所述金属密度,所述衬底夹持器耦合到射频(RF)发生器并且被配置为利用约300到800W的RF功率偏置所述衬底。
40.如权利要求1所述的沉积系统,其中所述处理室被配置为产生范围从约1mTorr到100mTorr的压强,所述金属源耦合到直流(DC)电源并且被配置为利用约1到6kW的DC功率产生约等于由所述等离子体源产生的所述等离子体密度的所述金属密度,所述衬底夹持器耦合到射频(RF)发生器并且被配置为利用约0到1000W的RF功率偏置所述衬底。
41.一种在衬底上的大高宽比特征结构中沉积薄金属膜的方法,包括:
在沉积系统中的衬底夹持器上放置所述衬底;
利用等离子体源在所述沉积系统内形成具有某一等离子体密度的等离子体;
利用金属源在所述沉积系统内引入具有某一金属密度的金属;
在所述衬底上方建立所述金属密度对所述等离子体密度的比值,所述比值约等于或小于1;以及
在所述衬底上的所述特征结构内执行具有±25%的均匀性的保形沉积。
42.如权利要求41所述的方法,其中所述建立所述比值包括利用所述等离子体源调节所述等离子体密度。
43.如权利要求41所述的方法,其中所述建立所述比值包括利用所述金属源调节所述金属密度。
44.如权利要求41所述的方法,其中所述在所述衬底上方建立所述比值包括在所述衬底上方局部地建立所述比值。
45.如权利要求44所述的方法,其中所述在所述衬底上方局部地建立所述比值包括以临时方式建立所述比值。
46.如权利要求41所述的方法,其中所述在所述衬底上方建立所述比值包括以临时方式建立所述比值。
47.如权利要求41所述的方法,其中所述保形沉积的沉积包括沉积在所述衬底上的最大厚度小于所述特征结构的宽度的一半的膜。
48.如权利要求47所述的方法,其中所述保形沉积的沉积包括沉积在所述衬底上的最大厚度小于约所述特征结构的宽度的1/10的膜。
49.一种在衬底上的大高宽比特征结构中沉积薄膜的方法,包括:
在沉积系统中的衬底夹持器上放置所述衬底;
向所述沉积系统提供具有涂覆材料电离电势的涂覆材料的涂覆材料源;
向所述沉积系统中引入具有大于所述涂覆材料电离电势的电离电势的处理气体;
利用等离子体源以所述处理气体在所述沉积系统内形成具有某一等离子体密度的等离子体;
利用所述涂覆材料源在所述沉积系统内引入具有某一涂覆材料密度的涂覆材料;
在所述衬底上方建立所述涂覆材料密度对所述等离子体密度的比值,所述比值不大于1;以及
在所述衬底上的所述特征结构内执行具有±25%的均匀性的所述涂覆材料的保形沉积。
50.如权利要求49所述的方法,其中所述比值在所述衬底的整个所述表面上是基本均匀的。
51.如权利要求49所述的方法,其中所述等离子体源被配置为产生超过1012cm-3的等离子体密度。
52.如权利要求49所述的方法,其中所述保形沉积的沉积包括沉积在所述衬底上的最大厚度小于所述特征结构的宽度的一半的膜。
53.如权利要求49所述的方法,其中所述保形沉积的沉积包括沉积在所述衬底上的最大厚度小于约所述特征结构的宽度的1/10的膜。
54.一种用于在衬底上的大高宽比特征结构中形成薄膜的沉积系统,包括:
用于执行权利要求49的方法的装置。
55.如权利要求54所述的沉积系统,其中所述用于执行所述方法的装置包括:
处理室;
耦合到所述处理室并且被配置为支撑所述衬底的衬底夹持器;
耦合到所述处理室并且被配置为在所述处理室内形成等离子体的等离子体源;以及
耦合到所述处理室并且被配置为将所述涂覆材料引入到所述处理室的所述涂覆材料的源。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/854,607 US20050266173A1 (en) | 2004-05-26 | 2004-05-26 | Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process |
US10/854,607 | 2004-05-26 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN1957104A true CN1957104A (zh) | 2007-05-02 |
Family
ID=34969666
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2005800169413A Pending CN1957104A (zh) | 2004-05-26 | 2005-05-12 | 等离子体处理的方法和装置 |
Country Status (7)
Country | Link |
---|---|
US (2) | US20050266173A1 (zh) |
EP (1) | EP1771592A1 (zh) |
JP (1) | JP2008500456A (zh) |
KR (1) | KR20070024643A (zh) |
CN (1) | CN1957104A (zh) |
TW (1) | TWI279851B (zh) |
WO (1) | WO2005118905A1 (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103380494A (zh) * | 2011-02-22 | 2013-10-30 | 瓦里安半导体设备公司 | 三维结构的离子辅助等离子处理 |
CN103634960A (zh) * | 2013-12-06 | 2014-03-12 | 阳泉市新鑫科技研究所有限责任公司 | 等离子氦气和氙气高压气体发热装置 |
CN105945262A (zh) * | 2016-05-09 | 2016-09-21 | 广东富行洗涤剂科技有限公司 | 一种酸性除壳模剂 |
CN106226577A (zh) * | 2015-06-02 | 2016-12-14 | 朗姆研究公司 | 电压模型rf偏置应用的大动态范围rf电压传感器和方法 |
Families Citing this family (331)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US7269526B2 (en) * | 2005-05-04 | 2007-09-11 | Hitachi Global Storage Technologies Netherlands B.V. | Aggregated run-to-run process control for wafer yield optimization |
US7888165B2 (en) | 2008-08-14 | 2011-02-15 | Micron Technology, Inc. | Methods of forming a phase change material |
US7834342B2 (en) | 2008-09-04 | 2010-11-16 | Micron Technology, Inc. | Phase change material and methods of forming the phase change material |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
FR2943092B1 (fr) * | 2009-03-13 | 2011-04-15 | Snecma | Aube de turbine avec un trou de depoussierage en base de pale |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9249498B2 (en) | 2010-06-28 | 2016-02-02 | Micron Technology, Inc. | Forming memory using high power impulse magnetron sputtering |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130224964A1 (en) * | 2012-02-28 | 2013-08-29 | Asm Ip Holding B.V. | Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
RU2520785C1 (ru) * | 2013-02-26 | 2014-06-27 | Открытое акционерное общество "Научно-производственное объединение "Сатурн" | Ступень турбины гтд с отверстиями отвода концентрата пыли от системы охлаждения |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10431425B2 (en) * | 2016-02-23 | 2019-10-01 | Tokyo Electron Limited | Poly-phased inductively coupled plasma source |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4500408A (en) * | 1983-07-19 | 1985-02-19 | Varian Associates, Inc. | Apparatus for and method of controlling sputter coating |
JPS62287071A (ja) * | 1986-06-06 | 1987-12-12 | Tadahiro Omi | 薄膜の形成装置および形成方法 |
JP2602276B2 (ja) * | 1987-06-30 | 1997-04-23 | 株式会社日立製作所 | スパツタリング方法とその装置 |
US4888199A (en) * | 1987-07-15 | 1989-12-19 | The Boc Group, Inc. | Plasma thin film deposition process |
DE3803355A1 (de) * | 1988-02-05 | 1989-08-17 | Leybold Ag | Teilchenquelle fuer eine reaktive ionenstrahlaetz- oder plasmadepositionsanlage |
JP2605088B2 (ja) * | 1988-03-19 | 1997-04-30 | 富士通株式会社 | 三極マグネトロンスパッタリング装置 |
JPH02225667A (ja) * | 1989-02-27 | 1990-09-07 | Tokuda Seisakusho Ltd | スパッタ装置 |
JPH02298024A (ja) * | 1989-05-12 | 1990-12-10 | Tadahiro Omi | リアクティブイオンエッチング装置 |
DE3929695C2 (de) * | 1989-09-07 | 1996-12-19 | Leybold Ag | Vorrichtung zum Beschichten eines Substrats |
US6024826A (en) * | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
JPH06181187A (ja) * | 1992-12-11 | 1994-06-28 | Hitachi Ltd | スパッタリング装置 |
EP0735577A3 (en) * | 1994-12-14 | 1997-04-02 | Applied Materials Inc | Deposit process and apparatus |
US5770025A (en) * | 1995-08-03 | 1998-06-23 | Nihon Shinku Gijutsu Kabushiki Kaisha | Magnetron sputtering apparatus |
US6238533B1 (en) * | 1995-08-07 | 2001-05-29 | Applied Materials, Inc. | Integrated PVD system for aluminum hole filling using ionized metal adhesion layer |
US5962923A (en) * | 1995-08-07 | 1999-10-05 | Applied Materials, Inc. | Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches |
US5907220A (en) * | 1996-03-13 | 1999-05-25 | Applied Materials, Inc. | Magnetron for low pressure full face erosion |
US6340417B1 (en) * | 1996-03-14 | 2002-01-22 | Advanced Micro Devices, Inc. | Reactor and method for ionized metal deposition |
TW358964B (en) * | 1996-11-21 | 1999-05-21 | Applied Materials Inc | Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma |
JPH10251849A (ja) * | 1997-03-07 | 1998-09-22 | Tadahiro Omi | スパッタリング装置 |
US5800688A (en) * | 1997-04-21 | 1998-09-01 | Tokyo Electron Limited | Apparatus for ionized sputtering |
US5976334A (en) * | 1997-11-25 | 1999-11-02 | Applied Materials, Inc. | Reliable sustained self-sputtering |
US6197165B1 (en) * | 1998-05-06 | 2001-03-06 | Tokyo Electron Limited | Method and apparatus for ionized physical vapor deposition |
US6231725B1 (en) * | 1998-08-04 | 2001-05-15 | Applied Materials, Inc. | Apparatus for sputtering material onto a workpiece with the aid of a plasma |
US6117279A (en) * | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6100200A (en) * | 1998-12-21 | 2000-08-08 | Advanced Technology Materials, Inc. | Sputtering process for the conformal deposition of a metallization or insulating layer |
US6306265B1 (en) * | 1999-02-12 | 2001-10-23 | Applied Materials, Inc. | High-density plasma for ionized metal deposition capable of exciting a plasma wave |
US6290825B1 (en) * | 1999-02-12 | 2001-09-18 | Applied Materials, Inc. | High-density plasma source for ionized metal deposition |
US6193811B1 (en) * | 1999-03-03 | 2001-02-27 | Applied Materials, Inc. | Method for improved chamber bake-out and cool-down |
JP2001035839A (ja) * | 1999-05-18 | 2001-02-09 | Hitachi Kokusai Electric Inc | プラズマ生成装置および半導体製造方法 |
US6143140A (en) * | 1999-08-16 | 2000-11-07 | Applied Materials, Inc. | Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field |
US6432819B1 (en) * | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US20030116427A1 (en) * | 2001-08-30 | 2003-06-26 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6610184B2 (en) * | 2001-11-14 | 2003-08-26 | Applied Materials, Inc. | Magnet array in conjunction with rotating magnetron for plasma sputtering |
US6193855B1 (en) * | 1999-10-19 | 2001-02-27 | Applied Materials, Inc. | Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage |
US6344419B1 (en) * | 1999-12-03 | 2002-02-05 | Applied Materials, Inc. | Pulsed-mode RF bias for sidewall coverage improvement |
US6451177B1 (en) * | 2000-01-21 | 2002-09-17 | Applied Materials, Inc. | Vault shaped target and magnetron operable in two sputtering modes |
US6251242B1 (en) * | 2000-01-21 | 2001-06-26 | Applied Materials, Inc. | Magnetron and target producing an extended plasma region in a sputter reactor |
US6277249B1 (en) * | 2000-01-21 | 2001-08-21 | Applied Materials Inc. | Integrated process for copper via filling using a magnetron and target producing highly energetic ions |
US6482733B2 (en) * | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6554979B2 (en) * | 2000-06-05 | 2003-04-29 | Applied Materials, Inc. | Method and apparatus for bias deposition in a modulating electric field |
US6413382B1 (en) * | 2000-11-03 | 2002-07-02 | Applied Materials, Inc. | Pulsed sputtering with a small rotating magnetron |
US6755945B2 (en) * | 2001-05-04 | 2004-06-29 | Tokyo Electron Limited | Ionized PVD with sequential deposition and etching |
US7026174B2 (en) * | 2002-09-30 | 2006-04-11 | Lam Research Corporation | Method for reducing wafer arcing |
-
2004
- 2004-05-26 US US10/854,607 patent/US20050266173A1/en not_active Abandoned
-
2005
- 2005-05-06 TW TW094114715A patent/TWI279851B/zh not_active IP Right Cessation
- 2005-05-12 EP EP05748341A patent/EP1771592A1/en not_active Withdrawn
- 2005-05-12 JP JP2007515151A patent/JP2008500456A/ja not_active Withdrawn
- 2005-05-12 WO PCT/US2005/016628 patent/WO2005118905A1/en active Application Filing
- 2005-05-12 CN CNA2005800169413A patent/CN1957104A/zh active Pending
- 2005-05-12 KR KR1020067027208A patent/KR20070024643A/ko not_active Application Discontinuation
-
2007
- 2007-08-07 US US11/835,067 patent/US8092658B2/en not_active Expired - Fee Related
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103380494A (zh) * | 2011-02-22 | 2013-10-30 | 瓦里安半导体设备公司 | 三维结构的离子辅助等离子处理 |
CN103634960A (zh) * | 2013-12-06 | 2014-03-12 | 阳泉市新鑫科技研究所有限责任公司 | 等离子氦气和氙气高压气体发热装置 |
CN106226577A (zh) * | 2015-06-02 | 2016-12-14 | 朗姆研究公司 | 电压模型rf偏置应用的大动态范围rf电压传感器和方法 |
CN106226577B (zh) * | 2015-06-02 | 2019-03-08 | 朗姆研究公司 | 电压模型rf偏置应用的大动态范围rf电压传感器和方法 |
CN105945262A (zh) * | 2016-05-09 | 2016-09-21 | 广东富行洗涤剂科技有限公司 | 一种酸性除壳模剂 |
Also Published As
Publication number | Publication date |
---|---|
JP2008500456A (ja) | 2008-01-10 |
US8092658B2 (en) | 2012-01-10 |
US20050266173A1 (en) | 2005-12-01 |
TWI279851B (en) | 2007-04-21 |
US20080026574A1 (en) | 2008-01-31 |
EP1771592A1 (en) | 2007-04-11 |
WO2005118905A1 (en) | 2005-12-15 |
TW200605194A (en) | 2006-02-01 |
KR20070024643A (ko) | 2007-03-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1957104A (zh) | 等离子体处理的方法和装置 | |
US6562715B1 (en) | Barrier layer structure for copper metallization and method of forming the structure | |
US7588667B2 (en) | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) | |
US8858763B1 (en) | Apparatus and methods for deposition and/or etch selectivity | |
US7901545B2 (en) | Ionized physical vapor deposition (iPVD) process | |
US6627050B2 (en) | Method and apparatus for depositing a tantalum-containing layer on a substrate | |
TW526583B (en) | Barrier applications for aluminum planarization | |
US7897516B1 (en) | Use of ultra-high magnetic fields in resputter and plasma etching | |
US6200433B1 (en) | IMP technology with heavy gas sputtering | |
KR20010030504A (ko) | 스퍼터된 도핑 씨드 층을 형성하는 방법 및 장치 | |
EP1094504A2 (en) | PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer | |
JP2006507670A (ja) | 集積回路相互接続構造のgcib処理 | |
KR20120137447A (ko) | 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치 | |
US7892406B2 (en) | Ionized physical vapor deposition (iPVD) process | |
JP2004218087A (ja) | 銅配線 | |
US8431033B2 (en) | High density plasma etchback process for advanced metallization applications | |
TW200824041A (en) | Method and apparatus of forming film, and recording medium | |
US6458251B1 (en) | Pressure modulation method to obtain improved step coverage of seed layer | |
US20040140196A1 (en) | Shaping features in sputter deposition | |
EP4174208A1 (en) | Pvd method and apparatus | |
Tolia et al. | Integrated IMP Ti and MOCVD TiN for 300-mm W barrier and liner for sub-0.18-um IC processing |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |