JP2002511191A - 銅被覆のための信頼性障壁の集積化 - Google Patents

銅被覆のための信頼性障壁の集積化

Info

Publication number
JP2002511191A
JP2002511191A JP54948598A JP54948598A JP2002511191A JP 2002511191 A JP2002511191 A JP 2002511191A JP 54948598 A JP54948598 A JP 54948598A JP 54948598 A JP54948598 A JP 54948598A JP 2002511191 A JP2002511191 A JP 2002511191A
Authority
JP
Japan
Prior art keywords
barrier layer
layer
hole
chamber
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP54948598A
Other languages
English (en)
Inventor
フューセン チェン
リーアン ユー チェン
ローデリック クレイグ モーズリー
モーシェ アイゼンバーグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002511191A publication Critical patent/JP2002511191A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、処理シーケンス及び孔を銅で充填するための関連ハードウェアを提供する。そのシーケンスは、最初に信頼性ある障壁層を前記孔に形成し、前記孔が形成される誘電層への銅の拡散を防ぐことを含んでいる。1つのシーケンスはパターン化された誘電層上に通常整合の障壁層を形成し、前記孔の最下部をエッチングし、第2障壁層を堆積し、その後、前記孔を銅で充填することを含んでいる。別のシーケンスは、被覆誘電層上に第1障壁層を堆積し、前記障壁層と前記誘電層の両方を通る孔を形成し、通常整合の第2障壁層を前記孔に堆積し、前記孔の最下部から前記障壁層を除去し、前記孔を銅で選択的に充填することを含んでいる。

Description

【発明の詳細な説明】 銅被覆のための信頼性障壁の集積化本発明の分野 本発明は、堆積シーケンス及びバイアウォールに形成された薄い整合障壁層を 利用して二重ダマシーン構造を象徴するプラグ及び線を製造するための関連ハー ドウェアに関する。 本発明の背景 現代の半導体集積回路は通常、他の材料は誘電体としての使用のためと考えら れているものであるが、簡略化してよく酸化物層と呼ばれるシリコン二酸化物ま たは二酸化珪素等の誘電(絶縁)層により分離された多層を含んでいる。その層 は下にある幾つかの導電体に接触する中間酸化物層を貫通する孔により電気的に 相互に連結されている。孔がエッチングされた後、それらは金属で充填され、そ の金属とは通常、最下層を最上層と電気的に接続するアルミニウムである(しか しながら、最近の傾向は銅に移りつつある)。一般的な構造はプラグと呼ばれて いる。プラグがシリコンまたはポリシリコンに接続されている場合には、プラグ は接点となる。プラグが金属に接続されている場合には、プラグはバイアとなる 。 外形寸法は小さくなり続けているので、集積回路は回路素子の密度を増加させ て形成されるほど、プラグはますます困難な問題を示してきている。論理型適用 のためには、プラグの直径は約0.25μmまたは0.35μmから0.18μ m及びそれ以下に縮小されると同時に、酸化物層の厚さは約1μmに抑えられる と思われる。その結果、プラグのアスペクト比(最小側方寸法に対する深さの割 合)は5:1及びそれ以上に圧迫される。 大きさが減少し続けると、プラグを形成する材料特性はますます重要になる。 プラグが小さくなるに連れて、プラグを形成する材料抵抗は速度性能のため小さ くするべきである。結果として銅はより重要となる材料である。銅は1.7μΩ .cmの抵抗を有している。銅は小さいRC時定数を有し、それにより形成され た デバイスの速度を増加させる。さらに、銅が優れた電子イオン移動抵抗を有する と共に線にもっと電流を提供することで、銅はアルミニウムを超える向上した信 頼性を示す。 銅の使用の1つの問題は、銅がシリコン二酸化物、シリコン及び他の誘電材料 に拡散することである。そのため、障壁層はますます重要になり、銅が誘電体に 拡散するのを防ぐと共にデバイスの完全性を傷つけるのを防ぐ。銅の使用のため の障壁層は中間の誘電体の適用に利用可能である。中間層の誘電体に薄いシリコ ン窒化物(SiN)を使用することは中間層の拡散を有効に抑えるであろう。同じ 誘電層内で、線間の漏れを防ぐため有効な障壁を提供することは難しい。幾つか の技術は現在研究中であり、その研究は中間誘電体から銅金属を分離してバイア ウォールに障壁ライナーを付加するものである。通常の物理気相成長法(PVD )技術はそれらの堆積の誘電性のため高アスペクト及び凹角構造に限られる。障 壁の厚さは構造アーキテクチァーに直接依存し、障壁は構造最下部近傍の側壁で より薄くなっている。凹角構造の突出物の下で、障壁の厚さ及びそのため障壁の 完全性が傷つけられるであろう。 対照的に、膜を堆積したCVDは凹角構造の自然な整合による。さらに、膜を 堆積したCVDは構造体のより下の界面への高度の整合を維持する。有機材料( TDMAT)の分解により用意されたシリコン窒化物(Sixy)及びチタン窒 化物(TiN)は記述した整合性能を示す材料を製造する通常の半導体である。 両材料は銅内拡散に対する良好な障壁として認められているが、それらの高抵抗 のため魅力がないと考えられている。材料の高抵抗性はバイア抵抗性能に有害な 影響を及ぼし、該バイア抵抗性能は論理デバイス性能を最大にすることが可能な 限り低く維持されなければならない。理想的に、良好な障壁は図1に示されたプ ラグの側壁に沿って並べられる。 そのため、処理シーケンス及び関連のハードウェアの必要性があるが、該ハー ドウェアはバイア側壁に良好な障壁層を供給するが、プラグの抵抗に否定的な影 響を及ぼさない。本発明の概要 本発明は一般に、基板の孔を銅で充填する処理シーケンス及び関連のハードウ ェアを提供する。そのシーケンスは、最初に孔に信頼性のある障壁層を形成し、 孔が形成される誘電層への銅の拡散を防ぐことを含んでいる。本発明の1実施例 は、パターン化された誘電体の上に通常の整合障壁層を形成し、孔の最下部をエ ッチングし、第2障壁を堆積し、その後、孔を銅で充填することを含んでいる。 別のシーケンスは、被覆誘電層の上に第1障壁層を堆積し、障壁層と誘電層の両 方を通る孔を形成し、孔に通常整合の第2障壁層を堆積し、孔の最下部から障壁 層を除去し、そして、孔を銅で選択的に充填することを含んでいる。図面の簡単な説明 本発明の上記列挙した特徴、利点及び目的が達成されると共に詳細に理解でき るように、簡単に上記要約した本発明のより詳細な説明は添付した図面に例示さ れたその実施例に関連させることによりなされてもよい。 しかし、添付した図面はこの発明の典型的な実施例のみを示しており、よって 、本発明にとってその範囲の限定を考慮するものではなく、他の均等に有効な実 施例に許可されてもよい。 図1は、銅で充填され、プラグの側壁に形成された良好な障壁層を有するプラ グを示す従来例の図面であり、 図2〜5は、それに実行された本発明の1処理シーケンスを有する基板の部分 断面図を示しており、 図6は、本発明の1処理シーケンスのフロー図であり、 図7〜12は、そこに実行された本発明の別の処理シーケンスを有する基板の 部分断面図を示しおり、 図13は、本発明の別の処理シーケンスのフロー図であり、 図14は、複数チャンバー処理装置の概略図であり、 図15は、高密度PVD処理チャンバーの断面図であり、 図16は、高密度CVD処理チャンバーの断面図であり、そして、 図17は、コンピュータ制御の処理装置の断面フロー図である。好適な実施例の詳細な説明 本発明は処理シーケンス及び関連したハードウェアを供給し、プラグの側壁に 形成された信頼性のある障壁を有する銅(Cu)プラグを形成し、プラグが形成 される誘電層へのCu拡散を防ぐ。本発明の1つの特徴では、シーケンス及び関 連したハードウェアが供給され、それに堆積された誘電層に形成されたプラグを 有する基板上にSixy等の通常整合の障壁層を最初に堆積することにより銅プ ラグを形成する。その後、基板はプレクリーンまたは他のエッチング処理に晒さ れ、通常は金属層またはシリコンまたはポリシリコン層である下にある層と接続 するプラグの最下部に形成された障壁層を除去する。Ta,TaN,TiSiN またはTaSiN層等の第2障壁層はその後、第1障壁層の上に堆積され、プラ グの良好な最下部の範囲を供給し、またプラグの角部をも覆い、誘電層はエッチ ング処理により露出されてもよい。その後、銅は高密度の物理気相成長法処理を 使用して堆積され、加熱Cu平坦化及び高圧充填、化学気相成長法が続き、また は物理気相成長法に伴われる化学気相成長法の組合せが続く。 本発明の別の特徴では、アモルファスSixy等の障壁層はそれに形成された SiO2等の被覆誘電層を有する基板に堆積される。その後、基板はパターン化 され、障壁層及び誘電層の両方を通るプラグを開け、下にある層を露出する。そ の後、整合障壁層がプラグの側壁を含むパターン化された基板表面上に形成され る。その後、基板はプレクリーンまたは他のエッチング処理に晒され、下にある 層と接続するプラグの最下部に形成された障壁層を除去する。下にある層がCu または他の材料等の核化材料であり、Cuは化学気相成長法技術を使用してプラ グ内を選択的に成長させることができる。二者択一的に、上述したIMPのCu 処理と圧力充填はプラグを充填するために使用可能である。 図2〜6は本発明の1処理シーケンスを図解すると共に説明し、下記に説明さ れるだろう。図2は下にある金属層14に誘電層12を通りそこに形成されたプ ラグ20を有する基板の部分断面図である。整合Sixy障壁層16はCVD技 術によりパターン化された表面上に形成され、側壁18及びプラグ20の最下部 に通常の整合障壁層を形成する。次に、基板はプレクリーンまたは他のエッチ ング処理に露出され、図3に示された下にある金属層14と接続するプラグ20 の最下部に形成されたSixy層22の一部を除去する。通常、エッチング処理 はまたプラグ20の上方角部の材料を除去し、誘電層12の一部分を露出しても よい。さらに、プラグの側壁への堆積は最上部より最下部でより薄くなる傾向が ある。したがって、Ta,TaN,TiSiN及びまたはTaSiN等の第2障 壁層はSixy層でイオン金属プラズマ処理等(通常、出願人によりIMPtm処 理と呼ばれている)の高密度プラズマ処理を使用してスパッタ堆積され、図4に 示されているように誘電層12を露出する。その後、銅はIMP処理を使用して 堆積され、図5に示されているように高温のCu平坦化及び高圧充填が続き、ま たは化学気相成長法技術、または物理気相成長法技術に伴われる化学気相成長法 の組合せを使用して堆積される。電気めっき等小さいものを充填することが知ら れている他のCu堆積技術もまた使用されることができ、そして、それは本発明 の範囲内である。処理シーケンスは図6にあるフロー図に要約されている。 図7〜12は本発明の別の処理シーケンスを例示すると共に説明し、以下に説 明されるだろう。図7はそこに形成されたSixy層等の障壁層15を有する基 板に形成された被覆誘電層12を示している。そのシーケンスでは、Sixy層 等の障壁層16は最初誘電層12に堆積される。その後、基板はパターン化され ると共にエッチングされ、図8に示されているように障壁層15,16及び誘電 層12を通りプラグ20を形成する。次に、第2整合障壁層30(Sixy)が 図9に示されているようにパターン化された表面上に形成される。その後、基板 はプレクリーンまたは他のエッチング処理に露出され、図10に示されているよ うに下にある層と接続するプラグ20の最下部に形成されたSixy層30の一 部分を除去する。次に、Cuはそれらの適用のプラグで選択的に成長されること ができ、下にある層はCuのCVDを凝集可能な電導層である。したがって、C uはプラグでスパッタ堆積されることができ、またCuは電気めっきを使用して 堆積し、プラグの充填を完了することができる。その後、基板は化学的機械的磨 きを耐え、基板から余分で不必要な材料を除去し、図12に示したように所望の 外形の形成を完了することができる。図13は上述した処理シーケンスを要約す るフロー図である。 本発明のCVD処理を実行するのに適した複数チャンバー処理装置の概略図が 図14に図解されている。その装置は、カリフォルニア州のサンタクララのアプ ライドマテリアル(Applied Materials)から商業的に利用可能な「ENDUR A」システムである。ここに示された装置35の特定の実施例は半導体基板等の 平面基板を処理するのに適しており、本発明を例示するために供給され、本発明 の範囲を制限するために使用されるべきではない。装置35は通常、一群の相互 に連結された処理チャンバー、例えば、CVD及びPVD堆積及び急速熱アニー ルチャンバーを含んでいる。 接触孔充填の場面では、それが中にある完全な容量を実質的に充填すると共に プラズマの主要部分で1011cm-3より大きい平均イオン密度を有するものとし ての1つの意味で高密度プラズマが定義される。伝統的なプラズマ強化PVD反 応炉は著しく低いイオン密度のプラズマを製造する。高密度プラズマは幾らかの 異なるタイプの反応炉で使用可能であるが、それらは図15の概略的断面図に示 されているタイプ等の誘導結合型プラズマ反応炉で得られるのが好ましい。簡単 に説明する理由のため、これはイオン化金属プラズマ(IMP)反応炉と呼ばれ ている。 概略的だけの意味のこの図面に示されているように、真空チャンバー40はチ ャンバー壁42及びターゲット背面板44によって主に形成されている。PVD ターゲット46はターゲット背面板44に取り付けられ、スパッタ堆積される材 料の少なくとも一部を含む合成物を有している。タンタル(Ta)及びタンタル 窒化物(TaN)の両方の堆積のため、ターゲット46はタンタルからできてい る。PVD膜の層でスパッタ堆積された基板48はターゲット46に対向する脚 電極50に支持されている。処理ガスは、それぞれのマスフローコントローラ5 6,58により計量されるガス源52,54からチャンバー40に供給され、真 空ポンプシステム60は所望の低圧にチャンバー40を維持する。 誘導コイル62はターゲット46と脚50との間の空間の回りに巻き付かれて いる。3個の独立した電源がこのタイプの誘導結合スパッタリングチャンバーで 使用される。DC電源64は脚50に関してターゲット46を陰極にバイアスす る。RF電源66はメガヘルツの値域の電力を誘導コイル62に供給する。ター ゲット46と基板48の間に加えられるDC電圧はチャンバーに供給された処理 ガスを放出させ、プラズマを形成させる。コイル62によりチャンバー40に誘 導結合されたRFコイル電力はプラズマの密度を増加させ、すなわち、イオン化 粒子の密度を増加する。ターゲット46の後ろに配置された磁石58はスパッタ リング効率を増加させるためターゲット46近傍のプラズマの密度を著しく増加 させる。別のRF電源70はプラズマに関してそれをバイアスするため100K Hzから数メガヘルツの周波数範囲の電源を脚50に加える。 ガス源54からのアルゴンが主要なスパッタリングガスである。それはプラズ マでイオン化され、その陽極に充電されたイオンは陰極にバイアスされたターゲ ット46に引き寄せられ、イオンがターゲット46からの粒子をスパッタするの に十分なエネルギーを有し、すなわち、ターゲットの原子または多くの原子粒子 はターゲットから追い出される。スパッタされた粒子は主に弾動経路に沿って動 き、それらの幾つかは基板48に突き当たり、ターゲット材料の膜として基板に 堆積する。ターゲット46がタンタルで更なる反応が想定されない場合には、タ ンタル膜はそのようにスパッタ堆積され、或いはアルミニウムターゲットの場合 には、アルミニウム膜が形成される。 装置はまた、周囲の側壁45と天井50を有するCVD堆積チャンバー40( 図16に示されている)をも含んでいる。チャンバー40はチャンバーに処理ガ スを運ぶための処理ガス分配器を含んでいる。マスフローコントローラ及び空気 操作バルブが堆積チャンバー40への処理ガスの流量を制御するために使用され る。ガス分配器55は通常、(図示されたように)基板の上、または(図示され ていないが)基板の周囲に取り付けられている。支持台65は堆積チャンバー4 0の基板を支持するために供給される。基板はチャンバー40の側壁45の基板 装填口を通ってチャンバー40に導かれ、支持台65に置かれる。支持台65は 支持リフトベローズ70により昇降されることができ、基板とガス分配器55と の間の間隙が調整可能なようになっている。支持台65の孔を通って挿入される リフト指状突起を含むリフト指状突起部品75は支持台上の基板を昇降するため に使用可能であり、チャンバー40の内外への基板の搬送を容易にする。それか ら、基板を急速に加熱するため加熱ヒーター80がチャンバーに供給される。 基板の急速加熱及び冷却は処理のスループットを増加させるために好ましく、同 一チャンバー65内の異なる温度で動作する連続処理の間の迅速なサイクルを可 能にする。一般に、基板の温度は支持台65の温度から判断される。 基板は水平な穿孔障壁板105の上の処理区域95で処理される。障壁板10 5は、消費処理ガスをチャンバー40から排気するための排気システム115と 流通する排気孔110を有している。通常の排気システム115は約10ミリト ルの最小の真空度を達成可能な回転翼真空ポンプ(図示せず)、及び任意に副産 物のガスを洗浄するための洗浄器システムを有している。チャンバー40の圧力 は基板の側面で感知され、排気システム115の絞り弁を調整することにより制 御される。 プラズマ発生器116はプラズマ強化の化学気相成長法処理のためチャンバー 40の処理区域95のプラズマを発生するために供給される。プラズマ発生器1 16は、(i)堆積チャンバーを取囲む誘導コイル(図示せず)にRF電流を加 えることにより誘導的に、(ii)チャンバーの処理電極にRF電流を加えること により容量的に、(iii)チャンバー壁または他の電極が接地される間に誘導的 及び容量的の両方で、プラズマを発生することができる。約750Wから約20 00Wの電力レベルのDCまたはRF電流は誘導コイル(図示せず)に加えられ ることができ、堆積チャンバーにエネルギーを誘導的に結合し、処理区域95で プラズマを発生する。RF電流が使用される時、RF電流の周波数は通常約40 0KHzから約16MHzであり、より典型的には約13.56MHzである。 任意に、ガス閉じ込めまたはプラズマ集束リング(図示せず)は通常、アルミニ ウム酸化物または石英製であり、基板回りの処理ガスまたはプラズマの流量を含 むために使用されることができる。 プラグの最下部から障壁層を除去するために使用可能なプレクリーンチャンバ ーはカリフォルニア州サンタクララのアプライドマテリアル社(Applied Materi als,Inc.)から使用可能である。さらに、その分野で公知の他のエッチングチ ャンバーは述べたように障壁層を除去するために使用可能であろう。 その処理は、従来のコンピュータシステムで作動するコンピュータプログラム 製品141を使用して実行することができ、前記コンピュータシステムは、例え ば、カリフォルニア州のSynenergy Microsystemsから商業的に利用可能な68400 マイクロプロセッサ等の周辺制御構成機器を有するメモリシステムに相互に連結 される中央処理装置(CPU)を含んでいる。コンピュータプログラムコードは 、例えば、68000アセンブリ言語、C、C++、またはパスカル等の従来のコン ピュータで読み取り可能なプログラム言語で書かれることができる。適当なプロ グラム言語コードは、従来のテキストエディタを使用して単一のファイルまたは 複数のファイルに入力され、コンピュータのメモリシステム等のコンピュータ使 用可能媒体に格納され、または組み入れられる。入力コードテキストが高水準言 語である場合には、コードはコンパイルされ、その後、合成コンパイラコードは 予めコンパイルされたウィンドウズライブラリールーティンの目的コードとリン クされる。リンクされたコンパイル目的コードを実行するため、システムのユー ザーは目的コードを呼び出し、コンピュータシステムにメモリのコードをロード させ、それからCPUはコードを読み込むと共に実行し、プログラムで確認され たタスクを実行する。 図17はコンピュータプログラム141の階層制御構造の図解ブロック図を示 している。ユーザーは処理セット及び処理チャンバー番号を処理セレクターサブ ルーチン142に入力する。処理セットは特定の処理チャンバーの特定処理を実 行するために必要な処理パラメータの所定セットであり、所定のセット番号によ り識別される。その処理は、所望の処理チャンバー、及び(ii)特定の処理を実 行するための処理チャンバーを操作するために必要とされる所望セットの処理パ ラメータを設定する。プロセスパラメータは、例えば、プロセスガスの混合物及 び流量割合、温度、圧力、RF及びDCバイアス電力レベル及び磁場力レベル等 のプラズマ状態、冷却ガス圧力、及びチャンバー壁の温度等の処理状態に関する 。 処理シーケンササブルーティン143はプログラムコードを含み、該プログラ ムコードは識別された処理チャンバー及び処理セレクタサブルーティン142か らの処理パラメータのセットを受け入れると共に各種処理チャンバーの動作を制 御する。複数のユーザーは処理セット数及び処理チャンバー数を入力可能であり 、または、ユーザーは複数の処理セット数及び処理チャンバー数を入力可能であ り、そのためシーケンササブルーティン143が作動され、所望のシーケンサの 選択 処理をスケジュールする。好ましくは、シーケンササブルーティン143はプロ グラムコードを含み、(i)処理チャンバーの動作を監視し、チャンバーが使用 されているかどうかを決定し、(ii)何の処理が使用されているチャンバーで行 われているかを決定し、(iii)処理チャンバーの有用性及び行われる処理のタ イプに基づいて所望の処理を実行する各段階を実行する。処理チャンバーを監視 する従来の方法はポーリングのように使用可能である。どの処理が実行されるか をスケジュールする時、シーケンササブルーティン143は選択された処理のた め所望の処理状態と比較して使用される処理チャンバーの現在の状態、または要 求を入力した各特定のユーザーの「年齢」、またはシステムプログラマーが要求 し、スケジュールの優先事項を決定するために含まれる他の関連要因を考慮に入 れるように設計されることができる。 どの処理チャンバー及び処理セットの組み合わせが次に実行されるかを一度シ ーケンスサブルーティン143が決定すると、シーケンササブルーティン143 は、特定の処理セットパラメータを渡すことによりチャンバー管理プログラムの サブルーティン144a〜cに処理セットを実行させ、該チャンバー管理プログ ラムのサブルーティンはシーケンサーサブルーティン143により決定された処 理セットにしたがい異なる処理チャンバーの複数の処理タスクを制御する。例え ば、チャンバー管理プログラムのサブルーティン144aは述べた処理チャンバ ー40内でCVD処理動作を制御するプログラムコードを含んでいる。チャンバ ー管理プログラムサブルーティン144はまた、各種チャンバー構成サブルーテ ィンまたはプログラムコードモジュールの実行をも制御し、選択された処理セッ トを実行するために必要なチャンバー構成の動作を制御する。チャンバー構成サ ブルーティンの例は、基板位置決めサブルーティン145、処理ガス制御サブル ーティン146、圧力制御サブルーティン147、ヒーター制御サブルーティン 148、及びプラズマ制御サブルーティン149である。これらの異なるサブル ーティンは(i)温度ΔTSの範囲内の温度TSに基板を加熱し、(ii)処理区域 に反応ガスを導入し、基板のフィールド部分に実質的に連続する絶縁層を堆積す るためのシーディングプログラムコード手段、及び(i)温度ΔTdの範囲内の 堆積温度Tdに基板を維持し、(ii)処理区域に堆積ガスを導入し、接触孔ま たはバイアで成長するエピタキシャル成長層を形成するための堆積成長プログラ ムコード手段として機能する。何の処理が処理チャンバー40で実行されること を望まれるかにより、他のチャンバー制御サブルーティンが含まれることができ ることは当業者であれば容易に分かるだろう。 動作において、チャンバー管理プログラムのサブルーティン144aは、実行 される特定の処理セットにしたがって処理構成サブルーティンを選択的にスケジ ュールまたは呼び出す。チャンバー管理プログラムのサブルーティン144aは 、シーケンサーサブルーティン143がどの処理チャンバー及び処理セットが次 に実行されるかをスケジュールする方法と同様に処理構成サブルーティンをスケ ジュールする。通常、チャンバー管理プログラムのサブルーティン144aは各 種チャンバー構成を監視する段階と、実行される処理セットのための処理パラメ ータに基づいてどの構成が動作される必要があるかを決定する段階と、さらに、 監視及び決定段階に応答してチャンバー構成サブルーティンを実行させる段階と を含んでいる。実施例1 1実施例において、本発明による処理は0.25μのバイアを有し、約4:1 のアスペクト比のウェーハで実行された。パターン化されたウェーハは最初CV Dチャンバーに導かれ、約50Åから約100ÅのSixyはCVD技術を使用 してウェーハに堆積された。その後、ウェーハはプレクリーンIIチャンバーに移 動され、ウェーハは約20秒間、環境をエッチングするアルゴン/水素に晒され た。約300/300WのRF/DC電力が使用された。次に、ウェーハはIM Pチャンバーに移動され、約400ÅのTaNがウェーハ上に堆積された。次に 、ウェーハがCVDチャンバーに導かれ、約400ÅのCVDのCuが湿潤層と してウェーハ上に堆積された。その後、Cuがウェーハ上にスパッタされ、バイ アの充填を完了した。実施例2 別の実施例において、本発明の別の処理シーケンスがウェーハ上で実行され、 該ウェーハは金属1の特徴、障壁層及びそこに形成された誘電層を有している。 ウェーハは最初パターン化されると共にエッチングされ、金属1の特徴を接続す るバイアを形成した。次に、ウェーハはCVDチャンバーに導かれ、約50Åか ら約100ÅのSixyがCVD技術を使用してウェーハ上に堆積された。その 後、ウェーハはプレクリーンIIチャンバーに移動され、ウェーハは約20秒間、 環境をエッチングするアルゴン/水素に晒された。約300/300WのRF/ DC電力が使用された。次に、ウェーハはCVDチャンバーに導入され、バイア がCuで選択的に充填された。 前述したものは本発明の好適な実施例に導入されるが、他の及び本発明のさら なる実施例がその基本範囲から逸脱することなく発明されてもよく、その範囲は 以下の請求の範囲により決定される。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チェン リーアン ユー アメリカ合衆国 カリフォルニア州 95131 サン ホセ フェアウェイ エン トランス ドライヴ 1304 (72)発明者 モーズリー ローデリック クレイグ アメリカ合衆国 カリフォルニア州 94588 プレザントン ディアヴィラ ア ベニュー 4337 (72)発明者 アイゼンバーグ モーシェ イスラエル 34759 ハイファ ソロカ ストリート 32

Claims (1)

  1. 【特許請求の範囲】 1.集積回路の誘電層を通る孔を充填する方法であって、 a)通常の整合の第1障壁層を孔に堆積し、 b)孔の最下部に形成された第1障壁層を除去し、 c)高密度プラズマの条件の下、第2障壁層をスパッタ堆積し、 d)金属層を孔に堆積する、 ことを含むことを特徴とする方法。 2.前記第1障壁層が化学気相成長法技術を使用して堆積される請求項1に記載 の方法。 3.前記障壁層がSixyからなる請求項2に記載の方法。 4.前記孔の最下部に形成された前記第1障壁層の一部分がエッチング技術を使 用して除去される請求項3に記載の方法。 5.前記孔に堆積された前記金属層が銅である請求項4に記載の方法。 6.前記金属層が化学気相成長法技術を使用して堆積される請求項5に記載の方 法。 7.前記金属層が物理気相成長法を使用して堆積される請求項5に記載の方法。 8.前記第1障壁層がSixyを含む請求項1に記載の方法。 9.前記第2障壁層がTa,TaN、TaSiN,TiSiN及びその混合物か らなるグループから選択された材料を含む請求項8に記載の方法。 10.前記孔にスパッタ堆積された前記金属層が銅である請求項9に記載の方法。 11.前記第2障壁層が高密度プラズマの状態の下でスパッタ堆積される請求項 10に記載の方法。 12.前記金属が高密度プラズマの状態の下でスパッタ堆積される請求項11に記 載の方法。 13.前記金属がほぼ室温と約500℃の間の温度に加熱され、その後、加圧環境 下に晒される請求項12に記載の方法。 14.前記加圧環境が約1,000psiから約100,000psiの範囲にあ る請求項13に記載の方法。 15.集積回路に誘電層を通る孔を充填する方法であって、 a)被覆誘電層上に第1障壁層を堆積し、 b)前記障壁層及び前記誘電層を通る孔を形成し、下層を露出し、 c)第2の通常整合の障壁層を前記孔に堆積し、 d)前記孔の最下部に形成された前記障壁層を除去し、 e)前記孔に金属層を選択的に堆積する、 ことを含むことを特徴とする方法。 16.前記第1障壁層及び第2障壁層がSixyを含む請求項15に記載の方法。 17.前記第1及び第2障壁層が化学気相成長法技術を使用して形成される請求項 16に記載の方法。 18.前記孔の最下部に形成された前記障壁層がスパッタエッチング技術により除 去される請求項17に記載の方法。 19.基板を動かすため少なくとも部分的にそこに配置されたロボット体を有する 中央搬送チャンバーと、 Sixyを堆積するための化学気相成長チャンバーと、 タンタルからなるターゲットを有する搬送チャンバーに接続された高密度プ ラズマ物理気相成長チャンバーと、 高密度プラズマを達成可能なエッチングチャンバーと、 銅からなるターゲットを有する搬送チャンバーに接続された高密度プラズマ 物理気相成長チャンバーと、 を含むことを特徴とする集積処理手段。 20.前記金属層は、化学気相成長法技術を使用して最初に湿潤層を堆積し、その 後、物理気相成長法技術を使用して前記孔を充填することにより堆積される請 求項5に記載の方法。
JP54948598A 1997-05-14 1998-05-13 銅被覆のための信頼性障壁の集積化 Pending JP2002511191A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US85611697A 1997-05-14 1997-05-14
US08/856,116 1997-05-14
PCT/US1998/009751 WO1998052219A1 (en) 1997-05-14 1998-05-13 Reliability barrier integration for cu metallisation

Publications (1)

Publication Number Publication Date
JP2002511191A true JP2002511191A (ja) 2002-04-09

Family

ID=25322886

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54948598A Pending JP2002511191A (ja) 1997-05-14 1998-05-13 銅被覆のための信頼性障壁の集積化

Country Status (6)

Country Link
US (5) US7026238B2 (ja)
EP (1) EP0981832A1 (ja)
JP (1) JP2002511191A (ja)
KR (1) KR20010012516A (ja)
TW (1) TW417249B (ja)
WO (1) WO1998052219A1 (ja)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6174811B1 (en) 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040150103A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Sacrificial Metal Liner For Copper
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6987059B1 (en) 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060009030A1 (en) * 2004-07-08 2006-01-12 Texas Instruments Incorporated Novel barrier integration scheme for high-reliability vias
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
DE102006037722B4 (de) * 2005-08-06 2016-02-25 Samsung Electronics Co., Ltd. Verdrahtungsstruktur für einen integrierten Schaltkreis und Verfahren zur Herstellung derselben
KR100685902B1 (ko) * 2005-08-29 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 그 제조 방법
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20090078580A1 (en) * 2005-12-02 2009-03-26 Ulvac, Inc. Method for Forming Cu Film
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US7417321B2 (en) * 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100853098B1 (ko) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 이의 제조 방법
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
KR101515544B1 (ko) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 칼코제나이드 박막 형성방법
US20110117466A1 (en) 2008-05-30 2011-05-19 Michael Edward Badding Solid Oxide Fuel Cell Systems
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
CN102005411A (zh) * 2009-09-01 2011-04-06 中芯国际集成电路制造(上海)有限公司 阻挡层的形成方法
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9190319B2 (en) * 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
KR102296919B1 (ko) * 2015-01-16 2021-09-02 삼성디스플레이 주식회사 박막봉지 제조장치 및 박막봉지 제조방법
JP2018174451A (ja) * 2017-03-31 2018-11-08 ルネサスエレクトロニクス株式会社 通信システム、バス負荷監視装置、バス負荷監視方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI773839B (zh) * 2017-10-14 2022-08-11 美商應用材料股份有限公司 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
EP3707759A1 (en) * 2017-11-07 2020-09-16 Everspin Technologies, Inc. Angled surface removal process and structure relating thereto
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210028324A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 반도체 소자
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US185370A (en) * 1876-12-12 Improvement in bungs and bushes
US29958A (en) * 1860-09-11 Brick-mold
US87520A (en) * 1869-03-02 Improved rocking-chair
US3607384A (en) 1968-07-11 1971-09-21 Western Electric Co Thin-film resistors having positive resistivity profiles
US4169032A (en) 1978-05-24 1979-09-25 International Business Machines Corporation Method of making a thin film thermal print head
DE3063506D1 (en) 1979-08-31 1983-07-07 Fujitsu Ltd A tantalum thin film capacitor and process for producing the same
US4419202A (en) * 1980-12-22 1983-12-06 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Metal coatings
US4491509A (en) 1984-03-09 1985-01-01 At&T Technologies, Inc. Methods of and apparatus for sputtering material onto a substrate
US4760369A (en) 1985-08-23 1988-07-26 Texas Instruments Incorporated Thin film resistor and method
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4782380A (en) 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4962060A (en) * 1987-03-10 1990-10-09 Advanced Micro Devices, Inc. Making a high speed interconnect system with refractory non-dogbone contacts and an active electromigration suppression mechanism
JP2602276B2 (ja) 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH05179437A (ja) 1991-01-18 1993-07-20 Citizen Watch Co Ltd タンタル膜の形成方法
JPH0529254A (ja) * 1991-07-24 1993-02-05 Sony Corp 配線形成方法
JP2785919B2 (ja) * 1991-07-26 1998-08-13 ローム株式会社 絶縁層の上に成長層を有する半導体装置の製造方法
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US5613296A (en) * 1995-04-13 1997-03-25 Texas Instruments Incorporated Method for concurrent formation of contact and via holes
US5534460A (en) * 1995-04-27 1996-07-09 Vanguard International Semiconductor Corp. Optimized contact plug process
US5972178A (en) 1995-06-07 1999-10-26 Applied Materials, Inc. Continuous process for forming improved titanium nitride barrier layers
US5858184A (en) 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
JP3714995B2 (ja) * 1995-07-05 2005-11-09 シャープ株式会社 半導体装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5565074A (en) * 1995-07-27 1996-10-15 Applied Materials, Inc. Plasma reactor with a segmented balanced electrode for sputtering process materials from a target surface
KR0175030B1 (ko) * 1995-12-07 1999-04-01 김광호 반도체 소자의 고내열 금속 배선 구조 및 그 형성 방법
KR0179795B1 (ko) 1995-12-28 1999-04-15 문정환 이층 구조의 Cu 확산방지막 형성방법
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure
SG53005A1 (en) * 1996-07-03 1998-09-28 Novellus Systems Inc Method for depositing substituted fluorcarbon polymeric layers
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
TW402778B (en) 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
JPH1041389A (ja) * 1996-07-24 1998-02-13 Sony Corp 半導体装置の製造方法
KR100200739B1 (ko) 1996-10-16 1999-06-15 윤종용 장벽금속막 형성방법
US6093639A (en) * 1996-10-30 2000-07-25 United Microelectronics Corp. Process for making contact plug
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6164138A (en) * 1997-04-15 2000-12-26 Dresser Industries, Inc. Self aligning dial for instrument gauge
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6139699A (en) 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6028003A (en) 1997-07-03 2000-02-22 Motorola, Inc. Method of forming an interconnect structure with a graded composition using a nitrided target
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
JP3425853B2 (ja) * 1997-08-29 2003-07-14 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
US5972179A (en) 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6229174B1 (en) * 1997-12-08 2001-05-08 Micron Technology, Inc. Contact structure for memory device
US6002174A (en) 1997-12-31 1999-12-14 Micron Technology, Inc. Barrier materials for semiconductor devices
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material
US6372301B1 (en) 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
TW413896B (en) * 1999-01-06 2000-12-01 United Microelectronics Corp Manufacturing method for dual damascene structure
JP2002534807A (ja) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド フィーチャ表面カバレッジの改善を促進する銅シード層の堆積方法
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6164128A (en) * 1999-10-13 2000-12-26 Santa Cruz; Cathy D. Apparatus, method and formula relating to total-wind statistics
US6200433B1 (en) * 1999-11-01 2001-03-13 Applied Materials, Inc. IMP technology with heavy gas sputtering
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
FR2808941B1 (fr) * 2000-05-12 2002-08-16 St Microelectronics Sa Validation de la presence d'un transpondeur electromagnetique dans le champ d'un lecteur a demodulation d'amplitude
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
JP3967879B2 (ja) * 2000-11-16 2007-08-29 株式会社ルネサステクノロジ 銅めっき液及びそれを用いた半導体集積回路装置の製造方法
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation

Also Published As

Publication number Publication date
US20030013297A1 (en) 2003-01-16
US7026238B2 (en) 2006-04-11
TW417249B (en) 2001-01-01
US20030017695A1 (en) 2003-01-23
EP0981832A1 (en) 2000-03-01
KR20010012516A (ko) 2001-02-15
US20070151861A1 (en) 2007-07-05
US20020060363A1 (en) 2002-05-23
US20040209460A1 (en) 2004-10-21
WO1998052219A1 (en) 1998-11-19

Similar Documents

Publication Publication Date Title
JP2002511191A (ja) 銅被覆のための信頼性障壁の集積化
US6207558B1 (en) Barrier applications for aluminum planarization
US6660135B2 (en) Staged aluminum deposition process for filling vias
US6355106B1 (en) Deposition of copper with increased adhesion
US6287977B1 (en) Method and apparatus for forming improved metal interconnects
US7510634B1 (en) Apparatus and methods for deposition and/or etch selectivity
US6080665A (en) Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6139905A (en) Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
JPH10177972A (ja) 準選択性化学的気相堆積
JP2002009016A (ja) 基板を処理するめの方法
JP2001520453A (ja) 金属上への誘電体の接着性改善方法
KR980011939A (ko) 이온화된 금속 접착층을 사용한 알루미늄 홀 충전 방법
JPH04257227A (ja) 配線形成方法
US20020192948A1 (en) Integrated barrier layer structure for copper contact level metallization
US20040140196A1 (en) Shaping features in sputter deposition
JP2002134436A (ja) プラズマ処理装置及び方法並びに基板生産物
CN112599414A (zh) 基片处理方法、半导体器件的制造方法和等离子体处理装置