JP2002009016A - 基板を処理するめの方法 - Google Patents

基板を処理するめの方法

Info

Publication number
JP2002009016A
JP2002009016A JP2001097372A JP2001097372A JP2002009016A JP 2002009016 A JP2002009016 A JP 2002009016A JP 2001097372 A JP2001097372 A JP 2001097372A JP 2001097372 A JP2001097372 A JP 2001097372A JP 2002009016 A JP2002009016 A JP 2002009016A
Authority
JP
Japan
Prior art keywords
layer
metal oxide
metal
tantalum
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001097372A
Other languages
English (en)
Inventor
Mouloud Bakli
バクリ ムールー
Steve G Ghanayem
ジー ガネイアム スティーヴ
Huyen T Tran
ティ トラン ハイアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002009016A publication Critical patent/JP2002009016A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 本発明は、金属酸化物を高密度化し、基板上
に金属窒化物の膜を形成することにより基板を処理する
方法を提供する。 【解決手段】 本発明の1つの特徴は、金属窒化物の膜
は、基板上に金属酸化物の膜を堆積し、金属酸化物の膜
を硝酸化ガスに曝すステップにより形成される。本発明
の他の特徴は、誘電体層514が基板512上に堆積さ
れ、この誘電体層は開口516を形成するためにエッチ
ングされて基板を露出し、その後金属酸化物の層519
が金属層518上に堆積され、硝酸化ガスに曝されて金
属酸化物の層の密度を高め、且つ下にある金属層の一部
が硝酸化されて金属窒化物の層518を形成する。金属
酸化物の層の高密度化は、窒素を含む雰囲気中で熱アニ
ーリングすることによって行なわれる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に、集積回路
及び他の電子デバイスの製造に関する。特に、本発明
は、金属窒化物の膜を堆積する方法に関する。
【0002】
【従来の技術】サブハーフミクロン及びそれより小さな
形状を確実に製造することは、VLSI(very large sc
ale integration)及びULSI(ultra large scale int
egration)集積回路の次世代に対するキーとなる技術の
1つである。しかし、VLSI及びULSI技術におけ
る相互接続の大きさが縮小することは処理能力への更な
る要求を提起している。この技術の中心にあるマルチレ
ベル相互接続のフィーチャは、高アスペクト比のフィー
チャ、例えば、バイア、ライン、コンタクト、及び他の
相互接続の注意深い処理を必要とする。これらの相互接
続形状の確かな形成は、VLSI及びULSIの成功す
るために非常に重要であり、また、回路密度及び個々の
基板やダイの品質を増すためのたゆまぬ努力にとっても
非常に重要である。
【0003】回路の密度が増加するに従って、ビアの
幅、コンタクト及び他のフィーチャばかりでなく、それ
らの間の誘電体材料はサブミクロンの大きさ、即ち0.
5μmあるいはそれ以下に減少する。ところが、フィー
チャに対するアスペクト比、即ち高さと幅の比が増加す
ると言う結果を伴って、誘電体層の厚さは実質的に一定
のままである。多くの従来の堆積プロセスは、アスペク
ト比が4:1、特にそれが10:1を超えるサブミクロ
ンの構造を充填するのは困難である。従って、高いアス
ペクト比を有する空隙のない、サブミクロンのフィーチ
ャの形成に向けて非常に多くの努力が行なわれている。
【0004】高いアスペクトフィーチャを形成すること
の困難性に直面している1つのこのような素子は、ディ
ジタルコンピュータにおいてデータを蓄積するために一
般に用いられるダイナミックランダムアクセスメモリ
(DRAM)の集積回路である。現在利用可能なDRA
Mは、単結晶シリコンチップ上に作られた16ミリオン
セルを有することができる。各々のメモリセルは、一般
にトレンチキャパシタに接続された単一のアクセストラ
ンジスタを有する。アクセストランジスタは、DRAM
デバイスによって専有されるチップスペースを最小にす
るために、一般にトレンチキャパシタ上に設けられる。
このトレンチキャパシタは、一般に、基板にエッチング
された高いアスペクト比のトレンチ構造によって画定さ
れる。この一般に、p型にドープされた基板は、トレン
チキャパシタの第1電極として働き、一般にグランドに
接続(接地)される。トレンチ構造の内面は、キャパシ
タ用の誘電体として働く複合誘電体膜、例えばSiO
/Si/SiOの複合膜によって覆われる。ト
レンチ構造は、一般にキャパシタの第2電極として働く
N+にドープされたポリシリコンで充填される。アクセ
ストランジスタは、一般にトレンチキャパシタの第2電
極に接続される。
【0005】同様に小さな形状のメモリセルを有する益
々高密度のDRAMの構成を容易にするために、小さな
チップスペースに電荷を蓄えることができるキャパシタ
構造および材料が必要とされる。高誘電率(high dielec
tric constant: HDC)の材料(ここでは、約40以上の
誘電率を有するものとして定義される。)が、電荷を蓄
積するために、DRAMの高密度トレンチキャパシタ構
造にうまく用いられている。1つのHDC材料である、
タンタルオキサイドTaは高密度メモリセルの次
世代用の期待できる選択肢となった。高誘電率を有する
Ta膜を形成するために、Taの層が堆積
され、その後、結晶化を増進するためにアニールされ、
それによって、膜の誘電率を増加する。接着/カプセル
化層がアニールプロセス中に隣接材料へのTa
電体層の中間層の接着を改善するために、電極層とTa
誘電体層間に堆積される。接着/カプセル化層
は、バリア層として作用して、デバイスの材料特性の劣
化を生じる恐れのある中間層の拡散を最小にする。
【0006】良好な接着特性を有する従来の拡散抵抗性
材料、例えばチタンナイトライド(TiN)は、ライナ
ー/バリアとして製造する集積回路に一般に用いられ、
又DRAMにおけるTa誘電体層のための接着/
カプセル化材料として現在用いられている。しかし、T
誘電体層のための接着/カプセル化材料として
のTiNの使用は、問題がある。TiNを伴う1つの問
題は、TiNが高温、すなわち約350℃より高い温度
で、好ましくない拡散抵抗特性を有することである。特
に、TiNは、約600℃より高い温度でTa
電体層への近接材料の拡散を妨げないことが観察されて
いる。高い誘電率を生成するために、Ta誘電体
層の熱アニーリングプロセス中に約600℃より高い温
度が必要である。このように、TiNはTa誘電
体層と共に使用するための、十分満足できる接着/カプ
セル化材料ではない。他の従来の材料、例えばシリコン
ナイトライド(SiN)もDRAM製造における接着/
カプセル層として使用されるが、SiN材料は、TiN
材料と同様な材料の問題を有していた。
【0007】TiNより大きな拡散抵抗と高い熱安定性
を有すると認められているチタンナイトライド(Ti
N)のような材料は、DRAMの製造において接着/カ
プセル材料として用いるために提案されている。しか
し、TaNは、従来高いアスペクト比(>5:1)フィ
ーチャの側面及び底面を覆うために、適していない反応
性物理気相堆積(PVD)技術によって堆積されてお
り、適当なカバレージを与えるために1堆積レジームよ
り多く必要とする。さらに、ギャップがTaNの接着/
カプセル層に形成し、接着/カプセル層は、隣接する層
間で拡散するのを阻止する十分な厚みを有するある領域
を生じて、平らでない厚さを有する。
【0008】TaN接着/カプセル層のPVD堆積に対
する1つの代替技術は、基板形状の良好なコンフォーマ
ルなカバレージを与えるために、化学気相堆積(CV
D)技術によるバリア層を堆積することである。しか
し、商業的に利用できるTaNの先駆物質があり、利用
可能なTaN先駆物質は、炭素と酸素のような受け入れ
ることができないレベルの汚染物質を有し、かつ不良の
拡散抵抗、低い熱安定性、及び望ましくない膜特性を有
する膜を生成する。更に、商業的に利用可能なTaNの
先駆物質から堆積される膜は、中間層の欠陥、例えば膜
の剥離を生じる隣接金属と誘電体層への不良接着を受け
ることがある。
【0009】したがって、サブミクロンの、高いアスペ
クト比形状のデバイスを形成するために有用である、良
好なバリア特性を有する接着/カプセル材料に対する必
要性がある。特に、堆積された接着/カプセル材料は低
レベルの汚染物質を有し、処理中に、特に項誘電率の材
料を有するマイクロエレクトロニックスデバイスのアニ
ール処理中に、高温に耐えることができるサブミクロン
の、高アスペクトフィーチャにおいて接着/カプセル材
料を堆積するためのプロセスに対する必要性がある。
【0010】
【本発明の概要】本発明は、酸化物の膜の高密度化によ
って、プロセスチャンバ内の基板上に金属窒化物の膜を
形成することにより基板を処理する方法を提供する。本
発明の1つの特徴において、金属窒化物の膜は、基板上
に金属酸化物の膜を堆積し、この金属酸化物の膜を硝酸
化ガスへ曝すことによって形成される。金属窒化物の膜
は、好ましくは、ペンタエトキシタンタルシクロペンタ
ンタンタルアジド、タンタルペンタクロライド及びそれ
らの組合せのグループから選択される化学的先駆物質の
熱又はプラズマ増進された分解によって堆積されたタン
タル酸化物の膜(Ta)によって形成されたタン
タル窒化物であるのが好ましい。硝酸化ガスは、窒素、
アンモニア、窒素酸化物及びそれらの組合せを有し、硝
酸化ガスは、更に酸素及び水素のような反応性ガスを有
することができる。
【0011】本発明の他の特徴は、CVD堆積された金
属酸化物の層の高密度化によって、基板上にメタライゼ
ーションのスタック状に金属窒化物のバリア層を形成す
ることによって、基板上にフィーチャを形成する方法を
提供する。1つの実施の形態では、誘電体層が基板上に
堆積され、この誘電体層は、誘電体層に開口を形成する
ためにエッチングされて、基板を露出し、その後、金属
酸化物の層が金属層上に堆積され、硝酸化ガスに曝さ
れ、金属酸化物の層の密度を高め、且つ下にある金属層
の一部を硝酸と化合させて、金属窒化物の層を形成す
る。金属酸化物の層の高密度化は、窒素を含む雰囲気中
で熱アニーリングプロセスによって又はプラズマ処理に
よって行なうことができる。その後、導電性金属、例え
ば銅が金属窒化物の層上に堆積される。さらに、メタラ
イゼーションすタックのためのライナー/バリア層のス
キームを与えるために、金属窒化物の層を堆積する前
に、タンタルの金属層が物理気相堆積(PVD)技術又
は化学気相堆積(CVD)技術の何れかによって、基板
上に堆積される。
【0012】本発明の他の特徴は、第1の電極を形成
し、CVDで堆積された金属酸化物の層の高密度化によ
って、第1の電極上に金属窒化物を有する接着又はカプ
セル層を堆積し、接着又はカプセル層上に誘電体を層を
堆積し、かつ誘電体層上に第2の電極を形成するステッ
プを有するμエレクトロニックデバイスを形成する方法
を提供する。本方法は、さらに、勇断体層と第2の電極
間に金属窒化物を有する第2の接着又はカプセル層を堆
積するステップを有することもでき、カプセル層の金属
窒化物は、CVDで堆積された金属酸化物層の高密度化
によって形成されることを特徴とする。好ましくは、誘
電体層は、化学的先駆物質の分解によって堆積された高
誘電率の材料、例えば金属酸化物である。好ましくは、
接着層及び誘電体層は、CVDで堆積された金属酸化物
層の高密度化によって形成された金属窒化物を有する接
着層を有する同じ先駆物質から、同じチャンバ内でシー
ケンシャルに堆積される。代わりに、金属窒化物の層は
第1と第2の電極を有してもよい。
【0013】
【発明の実施の形態】一般に、本発明は、金属窒化物の
膜を堆積し、基板上にフィーチャを形成し、かつ硝酸化
ガスによってCVDで堆積された金属酸化物の膜の高密
度化によって、金属窒化物の膜を有するマイクロエレク
トロニックデバイスを形成するための方法及び装置を提
供する。1つの特徴として、基板上に金属酸化物の膜を
堆積し、かつ金属窒化物の膜を形成するために、この金
属酸化物の膜を硝酸化ガスへ曝すことによって、金属窒
化物の膜が、処理チャンバ内で基板上に形成される。金
属酸化物の膜は、金属を含む先駆物質のCVDによって
堆積される。本発明の1つの好適な実施の形態では、金
属窒化物は、タンタルオキサイド(タンタル酸化物、T
)層を窒素、アンモニア、窒化オキサイド、及
びそれらの組合せからなる硝酸化ガスへ曝すことによっ
て形成されるタンタル窒化物(Ta)である。硝
酸化ガスは、更に水素及び酸素のような反応性ガスを含
むことができる。
【0014】本発明の他の特徴は、CVD堆積された金
属酸化物の層の高密度化によって、基板上にメタライゼ
ーションのスタックに金属窒化物のバリア層を形成する
ことによって、基板上にフィーチャを形成する方法を提
供することである。本発明の更に他の特徴は、第1の電
極を形成し、CVD堆積された金属酸化物の層の高密度
化によって第1の電極上に金属窒化物を有する接着層を
堆積し、この接着層の上に誘電体層を堆積し、かつ誘電
体層上に第2の電極を形成するステップを有するマイク
ロエレクトロニックデバイスを形成する方法を提供する
ことである。代わりに、金属窒化物の層は、第1と第2
の電極を有することができる。
【0015】装置 上述の本発明のプロセスは、PVD及びCVDチャンバ
の両方を有するマルチチャンバ処理装置又はクラスタ内
で行なわれる。本発明のCVD及びPVDプロセスを行
なうのに適したマルチチャンバ処理装置100の概要が
図3に示されている。図3に示された装置は、Applied
Materials, Inc., Santa Clara, Californiaから商業的
に利用できるENDURATM装置である。同様の多段
真空ウエハ処理装置が1993年2月16日にTepman他
に与えられた米国特許第5,186,718号明細書「多段真空
ウエハ処理装置及び方法」に開示されており、ここにレ
ファレンスによって取り込まれる。ここに示された装置
100の特定の実施の形態は、例えば半導体基板のよう
な平坦な基板を処理するのに適しており、本発明を説明
するのに設けられているが、本発明の範囲を限定するた
めに用いられるべきでない。装置100は、一般に、相
互接続されたプロセスチャンバのクラスタ、例えばCV
Dチャンバ300とPVDチャンバ200を有する。
【0016】装置100は、好ましくは、PVDプロセ
スを行うための少なくとも1つのPVDチャンバ200
を有する。たとえば、本発明の金属酸化物の誘電体層
は、PVDチャンバの酸化雰囲気中で金属のターゲット
をスパッタリングすることによって堆積される。このタ
ーゲットは、チャンバから電気的に絶縁され、プラズマ
を生成するためのプロセス電極として働く。スパッタリ
ングプロセス中、スパッタリングガス、例えばアルゴン
又はキセノンがチャンバ200へ導入される。スパッタ
リングターゲットへ加えられる電力は、チャンバないの
ガスをイオン化して、プラズマを形成する。ターゲット
へ与えられた電力は、電気的に接地された基板支持体の
間でDC又はRF電力である。プラズマは、一般に、約
100から約20,000ワットの間、より一般的に
は、約100ワットから約10,000ワットの間の電
力レベルで、DC又はRF電圧をスパッタリングターゲ
ットへ印加することによって発生される。
【0017】図2は、本発明で使用するあための例示的
PVDチャンバの概略図である。例示的PVDチャンバ
は、高密度プラズマPVD(a high density plasma-ph
ysical vapor deposition: HDP-PVD)チャンバ、
例えばApplied Materials, Inc.から利用可能なIMP
(登録商標)PVDチャンバである。このHDP-PV
Dチャンバ200は、一般に、チャンバエンクロージャ
202、基板支持部材204、ターゲット206、シー
ルド208及びコイル210を有する。ターゲット20
6は、基板支持部材204に対向して配置され、DC電
源212に電気的に接続されている。シールド208
は、一般に、ターゲット206と基板支持部材204の
間の領域を囲み、接地されている。コイル210は、シ
ールド208の内部に設けられ、RF電源214に接続
されている。エンクロージャ202をとおして設けられ
たガス入口216は、処理中チャンバへ1以上の処理ガ
スを導入する。
【0018】基板支持部材204は、エンクロージャ2
02の底をとおして設けられたアクチュエータのシャフ
ト218に取りつけられている。このアクチュエータの
シャフト218は、基板支持部材204のチャンバない
のいろいろな位置への移動を容易にするアクチュエータ
に接続されている。エンクロージャ202の側壁上に設
けられたスリットパル部226は、チャンバ内へ、及び
チャンバからの基板の移送を容易にする。基板支持部材
204に関連して設けられた基板昇降アッセンブリ22
2は、基板支持部材204への、及び基板支持部材から
離れて、基板の位置決めを容易にする。処理中、基板支
持部材204は、シールド208の下部に設けられたカ
バリング224の下へ基板を位置して、基板の周辺エッ
ジを堆積からシールドする。
【0019】図3は、本発明のプロセスをシ゛ッこするの
に有用な例示的CVDチャンバの概略断面図である。図
示されたCVDチャンバはApplied Materials, Inc.か
ら商業的に利用可能なCVD TxZTMチャンバであ
る。TxZTMチャンバは、熱又はプラズマ増進された
分解プロセスの何れかによって金属酸化物および/また
は金属窒化物の膜を堆積するための反応性ガスの存在の
下で、本発明の金属含有先駆物質の堆積用に適してい
る。
【0020】熱堆積プロセスにおいて、先駆物資ガスが
約100ミリトルと約10トルの間の圧力で、シャワー
ヘッド340を介してチャンバへ注入され、一方、ペデ
スタル332は、基板を支持し、かつ約100℃あるい
はそれ以上、好ましくは、約250℃から約450℃ま
での間の先駆物質の分解温度以上に上昇された温度まで
基板を加熱する。反応ガスは、基板上に直接位置するボ
リュームの先駆ガスへ導入される。導電性およびコンフ
ォーマルな金属及び/または金属窒化物の層が基板33
6上に均一に堆積される。
【0021】不純物を除き、膜を高密度化するために、
堆積プロセス中、または堆積後のプラズマ処理として、
プラズマが必要な場合、十分な電圧及び電力がRF電源
394によって与えられ、シャワーヘッド340とペデ
スタル332間の処理領域356にあるプロセスガスが
放電し、プラズマを形成するようにする。
【0022】CVDチャンバ300は、支持面334上
に基板を支持するペデスタル332を有する。リフトピ
ン338は、ペデスタル332内を摺動可能であるが、
それらの上端のコニカルヘッドによって落下しないよう
にする。リフトピン338の下端は、垂直に移動可能な
昇降リング339と係合可能であり、したがって、ペデ
スタル332の面上に上昇される。下部のローディング
位置(“406”として示されているスリットバルブよ
りわずかに下方)にあるペデスタル332について、リ
フトピン338及び昇降リング339と協働するロボッ
トブレード(図示せず)がスリットバルブ406をとお
してチャンバ300内へまたはチャンバ外へ基板を移送
する。このスリットバルブは、スリットバルブ406を
とおしてチャンバへ、またはチャンバからガスが流れな
いように真空シールされている。リフトピン338は、
挿入された基板336をロボットブレードから離して上
昇し、その後、ペデスタル332は、その支持面334
へ基板を移送するために上昇する。適したロボットの移
送アッセンブリは、Maydanに与えられた米国特許第4,95
1,601号明細書に記載され、その完全な開示は、レファ
レンスによってここに取り込まれる。
【0023】自己整列リフト機構の使用によって、その
後ペデスタル332は、更に、プロセスガスを対向する
基板336へ噴出するシャワーヘッドと呼ばれるガス分
配板340の接近した位置まで基板を上昇する。プロセ
スガスは、ガス供給カバー板346にある中央のガス入
口344を通って第1のディス状のスペースまたは空隙
へいき、そしてバッフル板(またはガス阻止板)352
にある通路350を通ってシャワーヘッド340の裏側
にある第2のディスク状のスペースまたは空隙354へ
いってリアクター300へ注入される。シャワーヘッド
340は、処理空間またはゾーン356へプロセスガス
を噴射するための非常に多くの孔または通路342を有
する。特に、プロセスガスは、スペースまたは空隙35
4から通路342を通って処理空間またはゾーン356
及び基板336へ向かう。
【0024】プロセスガスは、基板の表面で反応するよ
うに、シャワーヘッドの孔からシャワーヘッド340と
ペデスタル332間の処理空間またはゾーン356へ噴
射する。プロセスガスの副産物は、その後中心軸400
に対して、基板336のエッジを横切って、またペデス
タル332の上部周辺に窪みの付けられた環状エッジ4
04に設けられた周辺のセンタリングライト402を横
切って半径方向で、外方へ流れ、その後、ペデスタル3
32が処理位置にある時、ペデスタル332の上部周辺
を囲む環状のポンプチャネル360へ行く。
【0025】このポンプチャネル360は、制限された
排気開口362を通ってポンプ充気室(プレナム)37
6へ接続されており、バルブ378は、排気ベント38
0を通って真空ポンプ382への排気を行なう。制限さ
れた排気開口362は、周囲のポンプチャネル360の
周りにほぼ均一な圧力を形成する。プロセスガスとその
反応副産物は、シャワーヘッド340の中央から基板3
36とペデスタル332の周囲を横切って流れ、その
後、チョーク開口262を通ってポンプチャネル360
へ流れる。そしてガスは、ポンプチャネル360を周っ
ては生きちゃネル374へ流れ、排気プレナム376及
び排気ベント380を通って真空ポンプ382へ流れ
る。制限された排気開口362のため、基板336を横
切るガス流は、方位角方向にほぼ均一である。
【0026】図3に示されるように、チャンバ本体37
2のレッジ370は、絶縁チャンバリング410及びポ
ンプチャネル360の底部を形成するバンドシールド4
12からなる絶縁環状チャンバインサート408を支持
する。チャンバの蓋の端366は、チャンバ本体372
の一部と共にポンプチャネル360の外側壁の上部と一
部分を形成する。ポンプチャネル360の内側の上部エ
ッジは、チャンバ本体372から金属のシャワーヘッド
340を絶縁するセラミックまたは他の電気的絶縁材料
からなる絶縁体リング364によって形成される。
【0027】図3のCVDリアクター300は、熱及び
プラズマ支援モードにおいて動作することができる。熱
モードでは、電源390は電力をペデスタルの上部にあ
る抵抗性ヒータ392へ供給し、これによりペデスタ
ル、したがって基板336をCVD堆積反応を熱的に活
性化するのに十分上昇された温度に加熱する。プラズマ
増進モードでは、スイッチ396によって、RF電源3
94が電極として働く金属シャワーヘッド340へ送ら
れる。シャワーヘッド340は、一般的には電気的に非
導電性のセラミックから形成された環状の絶縁リング3
64によって、蓋の縁366と主チャンバ本体372か
ら電気的に絶縁されている。ペデスタル332は、RF
電力がシャワーヘッド340とペデスタル332間に分
配されるように、RF電源394に関連したバイアす素
子398に接続されている。十分な電圧と電力がRF電
源394によって供給され、シャワーヘッド340とペ
デスタル332間の処理領域356におけるプロセスガ
スが放電してプラズマを形成するようにする。
【0028】図4は、コンピュータプログラム441の
ハイアラキカルな制御構造の概略ブロック図を示す。ユ
ーザがライトペンインターフェースを用いてCRTモニ
ター上に表示されたメニューまたはスクリーンに応答し
てプロセスセレクターサブルーチン442へプロセスの
セットナンバーとプロセスチャンバのナンバーをいれ
る。タイルプロセスセットは、特定のプロセスを実行す
るのに必要なプロセスパラメータの所定のセットであ
り、予め定義されたセットナンバーによって識別され
る。プロセスセレクターサブルーチン442は(i)マ
ルチチャンバ装置における所望のプロセスチャンバ、及
び(ii)所望のプロセスを行うためのプロセスチャンバ
を動作するのに必要なプロセスパラメータの所望のセッ
トである。特定のプロセスを行うためのプロセスパラメ
ータは、例えば、プロセスガスの配合及び流速、温度、
圧力、RF電力レベルのようなプラズマ状態、及びチャ
ンバドーム温度などのようなプロセス条件に関し、レシ
ピの形でユーザに与えられる。レシピによって特定され
るパラメータは、ライトペン/CRTモニターインター
フェース(図示せず)を利用して入力される。
【0029】プロセスシーケンサーサブルーチン443
は、識別されたプロセスチャンバとプロセスセレクター
サブルーチン442からのプロセスパラメータのセット
を受けるため、及びいろいろなプロセスチャンバの動作
を制御するためのプログラムコードを有する。多くのユ
ーザがプロセスセットナンバーとプロセスチャンバのナ
ンバーを入力することができ、或いは一人のユーザがプ
ロセスセットナンバーとプロセスチャンバのナンバーを
入力することができ、したがって、シーケンササブルー
チン443は、所望のシーケンスにおいて選択されたプ
ロセスをスケジュールするために動作する。好適には、
シーケンササブルーチン443は、(i)チャンバが用
いられている否かを判断するためにプロセスチャンバの
動作を監視し、(ii)用いられているチャンバにおい
て、どんなプロセスが実行されているかを判断し、及び
(iii)プロセスチャンバの利用可能性と実行されるべ
きプロセスの形式に基づいて、所望のプロセスを実行す
るステップを行なうために、プログラムコードを有す
る。プロセスチャンバを監視する従来の方法は、例えば
ポーリングを用いることができる。どのプロセスが実行
されるべきであるかをスケジュールする場合、選択され
たプロセスのための所望のプロセス条件、またはそれぞ
れの特別なユーザの入力された要求の“エージ“、また
はスケジューリング特性を決定するために含むようにシ
ステムプログラマーが望む他の適合したファクタと比較
して、用いられるプロセスチャンバの現在の状態を考慮
して、シーケンササブルーチン443は設計される。
【0030】シーケンササブルーチン443がどのプロ
セスチャンバとプロセスセットの組合せが次に実行され
るかを決めた後、シーケンササブルーチン443は、特
定のプロセスセットパラメータをチャンバマネジャーサ
ブルーチン444A−Cへ通過することによって、プロ
セスセットを実行させる。チャンバマネジャーサブルー
チン444a−cは、シーケンササブルーチン443に
よって決められたタイルプロセスセットによって、PV
Dチャンバ300及びできるなら他のチャンバ(図示せ
ず)におけるマルチ処理タスクを制御する。一旦、シー
ケンササブルーチン443がどのプロセスチャンバとプ
ロセスセットの組合せが次に実行されるかを決めると、
シーケンスサブルーチン443は、特定のプロセスセッ
トパラメータをシーケンササブルーチン443によって
決められたタイルプロセスセットによって、PVDチャ
ンバ3000及びできるなら他のチャンバ(図示せず)
におけるマルチ処理タスクを制御するチャンバマネジャ
ーサブルーチン444a−cへ通過することによって、
プロセスセットを実行させる。例えば、チャンバマネジ
ャーサブルーチン444aは、図3に記載されたプロセ
スチャンバ300内で、PVDプロセスづさを制御する
ためのプログラムコードを有する。
【0031】チャンバマネジャーサブルーチン444
は、選択されたプロセスセットを実行するのに必要なチ
ャンバ要素の動作を制御するいろいろなチャンバ要素サ
ブルーチンまたはプログラムモードモジュールの実行も
制御する。チャンバ要素サブルーチンの例は、基板位置
決めサブルーチン448、プロセスガス制御サブルーチ
ン446、圧力制御サブルーチン447、ヒータ制御サ
ブルーチン448、及びプラズマ制御サブルーチン44
9である。この分野の通常の知識を有する者は、どんな
プロセスがチャンバ300(図3に示される)において
行なわれる必要があるかに依存して、他のチャンバ制御
サブルーチンを含むことができることを理解するであろ
う。動作において、チャンバマネジャーサブルーチン4
44aは、実行されている特定のプロセスセットにした
がって、プロセス要素サブルーチンを選択的にスケジュ
ールし、または呼び出す。チャンバマネジャーサブルー
チン444aによってスケジューリングすることは、実
行するために、どのプロセスチャンバ及びプロセスセッ
トをスケジューリングすることにおいて、シーケンササ
ブルーチン443によって用いられるチャンバマネジャ
ーと同様な方法で達成される。一般に、チャンバマネジ
ャーサブルーチン444aは、いろいろなチャンバ要素
を監視するステップ、実行されるべきプロセスセットの
ためのプロセスパラメータに基づいて、どの要素が動作
される必要があるかを判断するステップ、およびこれら
の監視および判断ステップに応答して、チャンバ要素サ
ブルーチンの実行を行なうステップを有する。
【0032】高密度化プロセス 金属窒化物の膜は、金属含有先駆物質の熱またはプラズ
マ増強された分解によって、好ましくはコンフォーマル
に堆積された金属酸化物の膜の硝酸化高密度によって形
成される。タンタル酸化物(Ta)を堆積するた
めに、ペンタエトキシタンタル(Ta(OC2H5)5)、シクロ
ペンタンタンタルアジド、タンタルペンタクロライド
(TaCl5)、及びそれらの組合せのグループから選択さ
れたタンタル含有先駆物質が用いられるのが好ましい。
タンタル酸化物または金属酸化物の膜を堆積するために
用いることができる他のタンタル酸化物先駆物質または
プロセスは、本発明によって意図される。金属酸化物の
膜の堆積を増強するために、例えば、酸素のような酸化
ガスが、酸素成分を含まない金属酸化物先駆物質、例え
ばタンタルペンタクロライドと共に、或いは酸素含有先
駆物質、例えばペンタエトキシタンタルと共に用いるこ
とができる。
【0033】金属酸化物の堆積プロセス中に、基板は、
十分高い温度に加熱され且つ維持され、金属酸化物の先
駆物質の分解及び膜の堆積を生じる。正確な温度は、反
応条件の下で使用される化合物の独自性、及び化学的、
熱的、及び安定性の性質に依存する。しかし、基板表面
上への先駆物質の完全な堆積を保証するために、ほぼ室
温から約1000℃の間、好ましくは、約100℃から
約800℃の間、最も好ましくは、約250℃から50
0℃の間の温度が用いられる。堆積処理中に、処理チャ
ンバは、約1ミリトル(milliTorr)と約25トル(Torr)
の間の圧力に維持されるが、好ましくは、約100ミリ
トルと約10トルの間に維持される。
【0034】金属酸化物の先駆物質のプラズマ増強され
たCVD堆積のために、プラズマを生成するための電力
がチャンバへ容量的または誘導的の何れかの結合がなさ
れ、ガスをプラズマ状態に励起して、基板上に堆積され
た膜と反応する励起された種を形成する。約200ワッ
トと約1000ワットの間の電力がチャンバに与えられ
る。プラズマ増強された堆積プロセスのために、プラズ
マ増強された堆積プロセス中、約100ミリトルと約1
0トルの間に維持されたチャンバ圧力と共に、基板の温
度は、約100℃と約800℃の間、好ましくは約25
0℃と約500℃の間に維持される。先駆物質のプラズ
マ増強された分解は、特に熱分解より低い処理温度で、
反応ガスと一緒に、増大された堆積を可能にする。
【0035】CVD技術によって堆積された金属酸化物
の膜、特に有機金属の先駆物質の場合は、有機汚染物
質、例えば炭素及び水素を含むことができる。炭素及び
水素の汚染物質は、堆積された膜に約20重量%まで
の、膜の濃度を有することができる。窒素含有ガス、好
ましくは、窒素、窒素酸化物および/またはアンモニア
が金属酸化物の先駆物資の分解中に導入され、汚染物質
の濃度を減少し、金属オキシニトライドの膜を形成す
る。
【0036】堆積されると、金属酸化物(または金属オ
キシニトライド)の膜は、この膜を硝酸化ガスに曝すこ
とによって、および酸素及び他の成分を窒素で置き換え
ることによって膜を高密度化することによって、金属窒
化物に変換される。高密度化を達成するために用いるこ
とができる窒化ガスは、酸素(O)、窒素(N)、
亜酸化窒素、及びアンモニア(NH)を含む。硝酸化
ガスは、少なくとも窒素及びアンモニアを含むが、しか
し好ましくは、酸素、窒素及びアンモニアの混合ガスを
含む。もし、アンモニアを含まない硝酸化ガスとして、
窒素が用いられるならば、硝酸化ガスは酸素を含むこと
ができる。
【0037】硝酸化プロセスは、熱的に、またはプラズ
マプロセスの何れかによって増進される。熱的に増強さ
れた硝酸化プロセスにおいて、堆積された金属酸化物の
膜は、約1ミリトルと約25トルの間のチャンバ圧力
で、約200℃と約800℃の間の温度を有する処理チ
ャンバによって硝酸化ガスに曝される。熱プロセスは、
金属酸化物の堆積用に用いられる同じ処理チャンバ内で
達成されるか、または急速アニールプロセス(RTP)
において、約30秒と約180秒の間の期間、約200
℃と約800℃の間の温度で、硝酸化ガス内で金属酸化
物の膜をアニールすることによって達成される。アニー
ルチャンバの例は、Applied Materials, (Inc.から利用
できるRTP XEplus Centura(登録商標)である。
代わりに、膜は、従来のアニールプロセスチャンバにお
いて、約5分と約50分の間の時間約300℃と約60
0℃の間の温度でアニールしてもよい。
【0038】金属酸化物の膜は、プラズマプロセスによ
って硝酸化することもできる。プラズマプロセスに対し
て、硝酸化ガスがプロセスチャンバへ導入され、約1ミ
リトルと約25トルの間の圧力、好ましくは、約1トル
と約10トルに確立するが、さらに好ましくは、チャン
バ圧力は約1.5トルと約5トルの間である。基板は、
約200℃と800℃の間、好ましくは、約250℃と
500℃の間の温度に保たれる。プラズマを発生するた
めに、約25ワットと約2000ワットの間、好ましく
は、約500ワットと1000ワットの間、更に好まし
くは、約750ワットの電力が処理ガスに与えられる。
上述のプロセスは、金属酸化物の膜の高密度化に対する
例示と考えられる。また、硝酸化プロセスのため反応性
の種を生成するために、硝酸化ガスの遠隔マイクロ波解
離のような他の技術を考えることもできる。
【0039】金属窒化物の膜は、金属及び金属酸化物の
膜に対する優れたバリア特性を有するように示されてお
り、硝酸化の量に依存して導電性及び絶縁性の層内に存
在することができる。膜を硝酸化することは、熱または
プラズマプロセス中に揮発性のハイドロカーボン及び酸
素が表面から脱りされ、層から窒素が水素及び炭素を除
去されるにしたがって、膜における汚染物質の濃度を減
少する。硝酸化/高密度化プロセスは膜を高密度化し、
それによってバリア特性を改善し、層化する欠陥を除去
する。また、膜を硝酸化することは、アモルファスの層
に結晶構造を与え、それにより、界面の結合及び接着を
増進し、一方メタライゼーションスキームにおける金属
と金属酸化物の層のような他の結晶層を有する中間層の
欠陥を減少する。さらに、金属窒化物の層のCVDプロ
セス堆積は、一般に、高いアスペクト比のフィーチャに
おける良好なコンフォーマルなカバレージ、及び標準的
なPVDプロセスによって堆積された金属窒化物の膜よ
り優れたステプカバレージを有することが認められる。
【0040】本発明の他の特徴においては、銅のメタラ
イゼーションスキームに用いられるTaNまたはTa/
TaNのスキームのような金属窒化物または金属/金属
窒化物のバリア/ライナーのスキームを形成するため
に、ライナー/バリア堆積プロセスにおいて有利になる
ように、金属窒化物の膜を形成するために金属酸化物の
膜の高密度化が用いられる。本発明の一体化されたライ
ナー/バリアメタライゼーションスキームにおいて、好
ましくは、金属層が先駆物質の分解からCVD技術によ
ってコンフォーマルに堆積される。金属層は、ライナー
層として堆積され、下にある材料への接着を増進し、コ
ンタクト/バイア抵抗を減少する。代わりに、金属層が
PVD技術、例えばイオン化された金属プラズマ(IM
P)またはコリメートされタPVDによって堆積されて
もよい。しかし、タンタル窒化物の膜は、良好な接着特
性を有することが認められ、このような金属の接着層は
メタライゼーションスキームに用いてはならない。その
後、金属窒化物の膜を形成するために、金属窒化物が、
CVP技術によって基板上に金属酸化物の膜を堆積し、
この金属酸化物の膜を硝酸化ガスに曝し、且つ曝された
金属酸化物の膜を硝酸化することによって形成される。
【0041】図5は、ライナー層518、バリア層51
9、及び基板512上に形成されたフィーチャ516に
堆積された導電性の金属層520を有する本発明のメタ
ライズされた膜のスタック500の1つの実施の形態の
概略断面図である。このフィーチャ516は、所望のフ
ィーチャ516、例えばバイア、コンタクトトレンチ、
及びラインを形成するために、基板512上に誘電体層
514を堆積し、エッチングすることによって形成され
る。この基板512は、シリコン、熱酸化物、セラミッ
ク、またはドープされたシリコン基板の形状をとっても
よく、或いは、それは基板上に形成された第1の、また
はそれに続く電気的導電層またはパターン化されたライ
ンであってもよい。誘電体層514は、シリコンウエハ
上に堆積されたプリ金属誘電体層または中間レベルの誘
電体層であっても良く、全体の集積回路の一部を形成す
るために、この分野で従来知られた手順によって形成さ
れる。誘電体層514は誘電体エッチングまたはこの分
野で既知のパターニングプロセス、例えば反応性イオン
エッチングによってエッチングされる。
【0042】金属/金属窒化物ライナー/バリアスキー
ムのために、ライナー層518は、好ましくは、誘電体
層514上に及びフィーチャ516の底と壁にコンフォ
ーマルに堆積されたタンタルを有する。ライナー層51
8は、CVDまたはPVD技術、好ましくは、先駆物質
を含むタンタルのCVD堆積によって堆積されるのがよ
い。ライナー層518は、基板または誘電体層514と
続いて堆積された導電性金属層520間の接着を増進す
る。CVDタンタルは、約100ミリトルと約10トル
の間のチャンバ圧力において、約100℃と約450℃
の間の温度で過熱された基板上に堆積される。タンタル
ライナー層518は、約200ワットと約1000ワッ
トの間の電力を与えることによって、プラズマが生成さ
れるプラズマ増強されたCVDプロセスによって堆積さ
れてもよい。CVD堆積されたライナー層に対して、ラ
イナー層518は、水素及び不活性ガス、例えばアルゴ
ンのプラズマに曝されるのが好ましく、カーボンと酸素
の不純物を除去し、タンタル層518の抵抗率を減少す
る。
【0043】代わりに、ライナー層518は、IMP−
PVD堆積技術のために用いられる高密度プラズマ物理
気相堆積(a high density plasma physical depositio
n: HDP-PVD)において、次ぎの処理パラメータを用いて
PVD技術によって堆積することもできる。堆積プロセ
ス中に、チャンバ圧力は、好ましくは、約5ミリトルと
約100ミリトル、更に好ましくは、約10ミリトルと
約30ミリトルの間に保たれる。ターゲットは、好まし
くは、約1kWと約3kWの間、及び約100Vと約3
00Vの間にDCバイアスされる。コイルは、好ましく
は、約1kWと約3kWの間にRFバイアスされる。基
板支持部材は、約0Wと約500Wの間、及び約50V
と約300Vの間にバイアスされる。メタライゼーショ
ンすタック500のたの実施の形態においては、ライナ
ー層518は堆積されず、バリア層519がライナー層
として働き、中間層の接着を増進する。
【0044】その後、金属窒化物層の高密度化から形成
された金属窒化物のバリア層519は、ライナー層51
8上にコンフォーマルに堆積される。バリア層519
は、下にある基板512または誘電体層514への、導
電性金属層520の拡散を防ぐために、導電性金属層5
20の前に堆積される。TaNを有するバリア層519
は、タンタル先駆物質のCVD堆積によって金属酸化物
の層を先ず堆積することによって形成され、タンタル酸
化物の層を形成する。タンタル酸化物の先駆物質は、ペ
ンタエトキシタンタル(Ta(OC2H5)5)、シクロペンタン
タンタルアジド、タンタルペンタクロライド、Ta(OC
H)、及びそれらの組合せのグループから選択され
る。その後、タンタル酸化物の層は、硝酸化反応ガス、
例えば窒素、亜酸化窒素、またはアンモニアに曝され
る。これらのガスは、追加的に水素、酸素、または他の
反応性ガスを含むことができる。プロセスは、熱的に増
進され、またはプラズマ増強されて、タンタル酸化物の
層を高密度化してタンタル窒化物のバリア層519を形
成する。タンタル酸化物の層の熱的硝酸化プロセスに対
して、硝酸化処理ガス、好ましくはアンモニア、窒素、
及び酸素の混合ガスが約200℃と約800℃の間の温
度、及び約1ミリトルと約25トルの間のチャンバ圧力
に維持された基板を有するチャンバに導入される。プラ
ズマ硝酸化プロセスは、約25ワットと約2000ワッ
トの間の電力を上述の処理ガスに与えることによってプ
ラズマを生成することによって、更に増強される。
【0045】硝酸化ガスは、また下にあるタンタルライ
ナー層518の表面を硝酸化する。下にあるタンタルラ
イナー層518の表面を硝酸化することは、タンタル金
属518とタンタル窒化物の層519間に改善された原
子格子のブリッジを形成し、これは、強い接着特性、化
学的スタックへの改善された抵抗、高温においてメタラ
イゼーションスタックの中間金属拡散への改善された抵
抗、及び低温における減少された粒子の形成につなが
る。
【0046】導電性金属、好ましくはアルミニウム、タ
ングステンまたは銅、及び最も好ましくは銅の層520
は、バリア層519上に堆積される。導電性金属の層5
20は、相互接続516を完全に充填するように堆積さ
れる。銅の層520はPVD、イオン化された金属プラ
ズマ(ionized metal plasma: IMP)PVD、CVD、
電気めっき、無電解堆積、またはこの分野の他の既知の
方法によって堆積することができる。
【0047】フィーチャは、メタライズされた層のスタ
ック500の上部を平坦化することによって、好ましく
は化学機械的ポリッシング(chemical mechanical polis
hing: CMP)によって、更に処理することができる。平坦
化プロセス中に、銅の層518と誘電体層514の一部
分は、バイア516に形成された導電性フィーチャを有
する完全に平らな表面を残して構造の上部から除去され
る。それに続くたのプロセスは、もし必要ならアニーリ
ング、誘電体または金属の層の追加の堆積、エッチン
グ、及びICの製造に既知の他のプロセルを含むことが
できる。
【0048】本発明は、第1の電極を形成し、CVD堆
積された金属酸化物の層の硝酸化する高密度化によって
第1の電極上に金属窒化物を有する接着またはカプセル
層を堆積し、この接着またはカプセル層上に誘電体層を
堆積し、及び誘電体層上に第2の電極を形成することに
よる、マイクロエレクトロニックデバイスの形成におい
て利点を有するために用いることができる。その方法
は、CVD堆積された金属酸化物の層の硝酸化する高密
度化によって誘電体層と第2電極間に金属窒化物を有す
る接着またはカプセル層を堆積するステップを更に有し
てもよい。誘電体層は、高誘電率の材料、好ましくはタ
ンタル酸化物からなる。本発明は、トレンチキャパシタ
を有するDRAMデバイスに対して適用することを説明
しているが、発明者は、他の半導体にも同様に本発明の
適用も意図している。さらに、本発明は、タンタル酸化
物の誘電体材料に対して適用することを説明している
が、発明者は、半導体製造に用いられる他の高誘電材料
への本発明の適用も意図している。
【0049】図6は、本発明の方法を用いて形成された
トレンチキャパシタを有するDRAMの断面図である。
DRAMデバイス610は、シリコン基板上に形成さ
れ、一般に、アクセストランジスタ612とトレンチキ
ャパシタ618を有する。
【0050】図2に示されるように、DRAMデバイス
610用のアクセストランジスタ612は、トレンチキ
ャパシタ618の上部に隣接して配置される。好ましく
は、アクセストランジスタ612は、ソース領域615
とゲート領域614及びドレイン領域616を有するn
-p-nトランジスタを有する。ゲート領域616は、P
基板上に設けられたPドープされたシリコンのepi-
層を有する。アクセストランジスタ612のソース領域
615は、ゲート領域614の第1の側に設けられたN
ドープされた材料を有し、ドレイン領域616は、ゲ
ート領域614の第2の側、すなわちソース領域615
の反対側に設けられたNドープされた材料を有する。
ソース領域615は、トレンチキャパシタの電極に接続
されている。
【0051】トレンチキャパシタ618は、一般に、第
1の電極、第2の電極及びそれらの間に設けられた誘電
体材料622を有する。P基板は、トレンチキャパシ
タ618の第1の電極として働き、接地されている。ト
レンチ623は、P基板に形成され、トレンチキャパ
シタ618の第2の電極として働くNドープされたポ
リシリコンで満たされている。誘電体材料622は、第
1の電極(すなわち、P基板)及び第2の電極(すな
わち、Nポリシリコン)間に設けられている。上記の
DRAMデバイスは、n-p-nトランジスタ、第1の電
極としてのP基板およびキャパシタの第2の電極とし
てのNポリシリコンを利用するけれども、他のトラン
ジスタ設計と電極材料も、DRAMデバイスを形成する
ために、本発明によって意図されている。
【0052】本発明によれば、トレンチキャパシタ61
8は、誘電体材料622と第1の電極619間に設けら
れた第1の接着/カプセル層624を有する。接着/カプ
セル層は、中間層の拡散を防ぐために、材料の中間層の
接着、カプセル化を与える層、または両方の機能を達成
する層としてここに定義される。好ましくは、第2の接
着/カプセル層225は誘電体材料と第2の電極621
間に設けることができる。トレンチキャパシタ618は
高アスペクト比のトレンチ構造に形成される。トレンチ
キャパシタの第1の電極619は、高アスペクト比のト
レンチ構造がエッチングされるドープされた基板を有す
る。トレンチ構造が基板にエッチングされると、第1の
接着/カプセル層624は、トレンチ構造の表面に設け
られ、第1の電極619(すなわち、P基板)への誘
電体材料622の接着を改善する。第1及び第2の電極
619,621は、タンタル(Ta)、タングステン
(W)、それらから形成された窒化物(Ta、W
)及びそれらの、導電性材料と硝酸化された誘導体
の化合物(Ta/Ta、W/WN)のような導
電性材料を有する。
【0053】第1と第2の接着/カプセル層224、2
25は、CVD技術を使って、例えば有機金属CVD
(a metal organic chemical vapor deposition: MOCV
D)技術によって堆積され、高アスペクト比のフィーチ
ャ内の表面上に薄いコンフォーマルな層を形成する。第
1の接着/カプセル層224は、アンモニア、窒素、酸
素、及びそれらの組合せからなる反応性ガスを硝酸化す
ることによって金属酸化物の層の高密度化から形成され
た金属窒化物を有する。金属酸化物は、ペンタエトキシ
タンタル(Ta(OC2H5)5)、シクロペンタンタンタルアジ
ド、タンタルペンタクロライド、Ta(OCH)、及びそれ
らの組合せのグループから選択された金属含有先駆物質
のCVDによって堆積される。金属窒化物は、金属酸化
物、例えばタンタル酸化物(Ta)または金属オ
キシニトライド、例えばタンタルオキシニトライド(T
)として堆積されることができる重金属、
好ましくはタンタルの窒化物である。金属酸化物の層
は、層を硝酸化ガスの雰囲気で約200℃と約800℃
の間の温度に加熱することによって、または窒素含有ガ
スのプラズマを当てることによって、硝酸化される。プ
ラズマは、約25ワットと約2000ワットの間の電力
でエネルギーを処理チャンバへ容量的にまたは誘導的に
結合することによって生成することができる。
【0054】第1の接着/カプセル層224は、約0.2
5μmより小さい開口幅及び約5:1より大きなアスペ
クト比を有するフィーチャに対して、約50Åと約50
0Åの間の厚みに堆積される。例えば、第1の接着/カ
プセル層224は、約0.25μmの幅と約2.5μmの
深さの大きさを有するフィーチャに対して、約300Å
の厚さに堆積される。接着/カプセル層224は、それ
が十分な電気的導電性を与えるので、マイクロエレクト
ロニックデバイスにおいて底部の電極として有用であ
る。したがって、誘電体相に対する電極ゲート材料及び
接着/カプセル層224は、1つのプロセスで堆積さ
れ、システムの効率及びスループットを改善する。好ま
しくは、本発明による材料を有する底部電極は、約50
0μΩ-cm、より好ましくは約250μΩ-cmより少
ない固有抵抗ρを有する。
【0055】再び図5を参照すると、接着/カプセル層
624がトレンチ構造の表面上に堆積された後、誘電体
層622が接着層624上に堆積される。好ましくは、
誘電体層622は、CVDによって堆積されることがで
きる高誘電体金属酸化物(すなわち、κ>40)、例え
ばタンタル酸化物(Ta)を有する。最も好まし
くは、高誘電体金属酸化物は、金属窒化物の層へ高密度
化される金属酸化物の層と同じ先駆物質によって堆積さ
れる。本発明の高密度化プロセスによって、他のチャン
バまたは処理装置へ基板を移送する必要がなく、同じ先
駆物質が同じチャンバにおいて連続的に金属窒化物の接
着層624と金属酸化物の誘電体層622を堆積するこ
とができる。代わりに、金属酸化物の誘電体層622
は、HDP-PVDチャンバにおいて反応性スパッタリ
ング技術を用いて堆積することができる。この誘電体層
622は、好ましくは、約20オングストームと約20
0Åの間、より好ましくは約80Åと約100Åの間の
厚さに堆積される。
【0056】第2の接着/カプセル層225は、誘電体
層622上に任意に堆積することができる。好ましく
は、第2の接着/カプセル層225は、第1の接着/カプ
セル層624(上述された)と同じ材料を有し、第1の
接着/カプセル層624に対して上述されたCVD技術
と先駆物質を用いて堆積される。接着/カプセル層が誘
電体材料と同族じまたは類似の族の元素を有するので、
上に述べられた材料は、誘電体材料への電極材料の接着
を改善すると考えられる。例えば、Taから変換
されたTaNベースの接着/カプセル層は、Ta
誘電体材料への良好な接着を与える。
【0057】トレンチキャパシタ618を完成するため
に、第2の電極621がトレンチ構造の第2の接着/カ
プセル層225上に形成される。第2の電極の材料は、
トレンチ構造を充填し、DRAMデバイスのアクセスト
ランジスタのソース領域615へ接続される。DRAM
デバイスを完成するために、DRAMデバイスのアクセ
ストランジスタ612が、この分野で一般に既知の技術
を用いて、トレンチキャパシタの上部に或いは近接して
形成される。
【0058】第1と第2の接着/カプセル層624と2
25は、誘電体層の誘電率を増加するために用いられる
続くアニールプロセス中に誘電体層622を保護する。
アニールプロセスは、従来のロアニールチャンバ及び急
速熱アニールチャンバを有するいろいろなアニールチャ
ンバ内で行なわれる。一般に、アニールチャンバは、良
く知られ、商業的に利用可能である。アニールチャンバ
の例は、Applied Materials, Inc.から利用できるRT
P XEplus Centura(登録商標)である。発明者は、P
eabdy, MassachusettsにあるEaton Corporation Therma
l Processing Systemのような製造業者から商業的に利
用できるたの熱プロセッサを使用することも意図してい
る。好ましくは、誘電体層622は、急速熱アニールプ
ロセス炉内で、約500℃と約800℃の間の温度で、
約30秒から約120秒間アニールされる。もし、従来
の熱アニール炉においてアニールされるなら、誘電体6
22は、好ましくは、約300℃と約600℃の間の温
度で、約5分から約50分間アニールされる。
【0059】ゲート電極のライナーとしての適用に加え
て、本発明は、現在実施されているバリア/接着層より
比較的高い誘電率を有する接着/カプセル層からの利点
を得るように適用することが有用である。本発明による
TaN層の層化合物及び特性は、HDC材料、例えば
Taとほぼ同じ誘電率を備える。高誘電率のバリ
ア/接着層は、HDC材料と同様の、接着層、誘電体層
及びカプセル層の全体的に効果的な中間層の誘電率を備
える。さらに、TaNの誘電体特性は、一般に、これ
らの層における窒素の含有量の増加と共に増加するの
で、HDC材料と同様な誘電率を与えるために、硝酸化
する条件を変えることによって可変誘電率のTaN層を
生成することができる。例えば、タンタル窒化物(Ta
)の1つのフェーズ(phase)、すなわち窒素の豊富
なTaは、約50及びそれ以上のTaの誘
電率と同様の、40またはそれより高い誘電率を有する
絶縁フェーズである。
【0060】更に、発明者は、図6に概要の目的で示さ
れたDRAM設計に加えて、いろいろなDRAM設計に
おいて、本発明によるトレンチキャパシタの適用を意図
している。例えば、1つの可能なDRAM設計におい
て、アクセストランジスタは、トレンチキャパシタ上の
位置に直接設けることができる。
【0061】以上の説明は、本発明の好適な実施の形態
についてなされたが、本発明の他の、及び更なる実施の
形態が本発明の基本的な範囲から逸脱することなく考え
られる。本発明の範囲は請求の範囲によって定められ
る。
【0062】
【発明の効果】添付図面は、本発明の代表的な実施の形
態のみを示したものであり、したがって、本発明の範囲
を限定的に考えるべきでない。
【図面の簡単な説明】
【図1】基板上でCVD及びPVDプロセスを行なうの
に適した一体化されたマルチチャンバ装置の概略平面図
である。
【図2】本発明の金属層を形成するのに有用な高密度プ
ラズマPVDチャンバである。
【図3】本発明のチャンバのCVD堆積プロセスを行な
うのに適したCVDチャンバの概略断面図である。
【図4】本発明のコンピュータプログラムのハイアラキ
カルな制御構造を示す簡略化されたブロック図である。
【図5】本発明による相互接続を形成するためのメタラ
イゼーション技術を示す、誘電体層における相互接続の
断面図である。
【図6】本発明による、トレンチを有するDRAMの断
面図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/768 H01L 21/90 C 21/8242 27/10 625A 27/108 651 (72)発明者 スティーヴ ジー ガネイアム アメリカ合衆国 カリフォルニア州 94024 ロス アルトス モートン アベ ニュー 1586 (72)発明者 ハイアン ティ トラン アメリカ合衆国 カリフォルニア州 94086 サニーヴェイル カーニー テラ ス 860 Fターム(参考) 4K030 AA11 BA17 BA42 DA09 JA10 JA11 JA16 LA15 4M104 BB17 BB32 CC01 DD39 DD44 DD45 DD52 DD53 DD75 DD79 DD86 FF18 FF22 5F033 HH08 HH11 HH19 HH21 HH32 JJ08 JJ11 JJ19 JJ21 JJ32 KK01 MM08 MM13 NN06 NN07 PP02 PP06 PP15 PP27 PP28 QQ00 QQ73 QQ78 WW03 WW07 5F058 BA05 BA09 BA10 BA20 BC03 BC09 BF02 BF11 BF64 BH01 BJ04 BJ06 BJ10 5F083 AD16 GA25 JA06 PR15 PR21 PR22 PR33 PR34

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】基板を処理するための方法であって、 基板上に金属酸化物の膜を堆積するステップと、 前記金属酸化物の膜を硝酸化ガスに曝して、金属窒化物
    の膜を形成するステップと、有する方法。
  2. 【請求項2】前記金属酸化物の膜はタンタル酸化物を有
    し、且つ金属窒化物の膜はタンタル窒化物(Ta
    )を有することを特徴とする請求項1に記載の方
    法。
  3. 【請求項3】前記金属酸化物の膜は、ペンタエトキシタ
    ンタル(Ta(OC2H5)5)、シクロペンタンタンタルアジ
    ド、タンタルペンタクロライド、Ta(OCH3)、及びそれら
    の組合せからなるグループから選択された先駆物質の化
    学気相堆積によって堆積されることを特徴とする請求項
    1に記載の方法。
  4. 【請求項4】前記硝酸化ガスは、窒素、アンモニア、亜
    酸化窒素、及びそれらの組合せからなるグループから選
    択されたガスを有することを特徴とする請求項1に記載
    の方法。
  5. 【請求項5】前記硝酸化ガスは、更に、酸素、水素、及
    びそれらの組合せからなるグループから選択されたガス
    を有することを特徴とする請求項4に記載の方法。
  6. 【請求項6】前記金属酸化物の膜を硝酸化するステップ
    は、硝酸化ガス中で、金属酸化物の膜を約200℃と約
    800℃の間の温度で加熱するステップを有することを
    特徴とする請求項1に記載の方法。
  7. 【請求項7】前記金属酸化物の膜を硝酸化するステップ
    は、約25ワット及び約2000ワットの間の電力を処
    理チャンバへ与えることによってプラズマを発生するス
    テップを有することを特徴とする請求項1に記載の方
    法。
  8. 【請求項8】基板上にフィーチャを形成するための方法
    であって、(a)基板上に誘電体層を堆積するステップ
    と、(b)前記誘電体層内に開口をエッチングして、前
    記基板を露出するステップと、(c)前記基板上に金属
    酸化物の層を堆積するステップと、(d)前記金属酸化
    物の層を硝酸化ガスに曝して、金属酸化物の層を高密度
    化し、金属窒化物の層を形成するステップと、を有する
    ことを特徴とする方法。
  9. 【請求項9】さらに、金属酸化物の層を堆積する前に金
    属の層を堆積するステップを有することを特徴とする請
    求項8に記載の方法。
  10. 【請求項10】前記金属酸化物の層はタンタル酸化物を
    有し、且つ金属窒化物の層はタンタル窒化物を有するこ
    とを特徴とする請求項8に記載の方法。
  11. 【請求項11】前記金属酸化物の層は、ペンタエトキシ
    タンタル(Ta(OC2H5)5)、シクロペンタンタンタルアジ
    ド、タンタルペンタクロライド、Ta(OCH3)、及びそれら
    の組合せからなるグループから選択された先駆物質の化
    学気相堆積によって堆積されることを特徴とする請求項
    8に記載の方法。
  12. 【請求項12】前記硝酸化ガスは、窒素、アンモニア、
    亜酸化窒素、及びそれらの組合せからなるグループから
    選択されたガスを有することを特徴とする請求項8に記
    載の方法。
  13. 【請求項13】前記硝酸化ガスは、更に、酸素、水素、
    及びそれらの組合せからなるグループから選択されたガ
    スを有することを特徴とする請求項8に記載の方法。
  14. 【請求項14】前記金属酸化物の層を高密度化するステ
    ップは、硝酸化ガス中で、金属酸化物の層を約200℃
    と約800℃の間の温度で加熱するステップを有するこ
    とを特徴とする請求項8に記載の方法。
  15. 【請求項15】前記金属酸化物の層を高密度化するステ
    ップは、約25ワット及び約2000ワットの間の電力
    を処理チャンバへ与えることによってプラズマを発生す
    るステップを有することを特徴とする請求項8に記載の
    方法。
  16. 【請求項16】さらに、金属窒化物の層上に導電性金属
    の層を堆積するステップを有することを特徴とする請求
    項8に記載の方法。
  17. 【請求項17】マイクロエレクトロニックデバイスを形
    成するための方法であって、(a)第1の電極を形成す
    るステップと、(b)(1)基板上に金属酸化物の層を
    堆積するステップと、 (2)前記金属酸化物の層を硝酸化ガスに曝して、前記
    金属酸化物の層を高密度化して、金属窒化物の層を形成
    するステップと、 を有する前記第1の電極上に金属窒化物の層を堆積する
    ステップと、(c)前記金属窒化物上に誘電体の層を堆
    積するステップと、(d)前記誘電体の層上に第2の電
    極を形成するステップと、を有する方法。
  18. 【請求項18】前記金属酸化物の層はタンタル酸化物を
    有し、且つ金属窒化物の層はタンタル窒化物を有するこ
    とを特徴とする請求項17に記載の方法。
  19. 【請求項19】前記金属酸化物の層は、ペンタエトキシ
    タンタル(Ta(OC2H5)5)、シクロペンタンタンタルアジ
    ド、タンタルペンタクロライド、Ta(OCH3)、及びそれら
    の組合せからなるグループから選択された先駆物質の化
    学気相堆積によって堆積されることを特徴とする請求項
    17に記載の方法。
  20. 【請求項20】前記硝酸化ガスは、窒素、アンモニア、
    亜酸化窒素、及びそれらの組合せからなるグループから
    選択されたガスを有することを特徴とする請求項17に
    記載の方法。
  21. 【請求項21】前記硝酸化ガスは、更に、酸素、水素、
    及びそれらの組合せからなるグループから選択されたガ
    スを有することを特徴とする請求項17に記載の方法。
  22. 【請求項22】前記金属酸化物の層は、硝酸化ガス中
    で、金属酸化物の層を約200℃と約800℃の間の温
    度で加熱するステップを有することを特徴とする請求項
    8に記載の方法。
  23. 【請求項23】前記金属酸化物の層を硝酸化するステッ
    プは、プラズマをたたくステップを有し、前記プラズマ
    は、約25ワット及び約2000ワットの間の電力で処
    理チャンバへエネルギーを容量的または誘導的に結合す
    るステップを有することを特徴とする請求項17に記載
    の方法。
  24. 【請求項24】前記誘電体の層は高誘電率材料を有する
    ことを特徴とする請求項17に記載の方法。
  25. 【請求項25】前記高誘電率材料はタンタル酸化物(Ta
    2O5)であることを特徴とする請求項24に記載の方
    法。
  26. 【請求項26】前記金属窒化物の層及び前記誘電体の層
    は、同じチャンバ内でシーケンシャルに堆積されること
    を特徴とする請求項17に記載の方法。
  27. 【請求項27】前記金属窒化物の層及び前記誘電体の層
    は、同じ先駆物質から堆積されることを特徴とする請求
    項17に記載の方法。
  28. 【請求項28】更に、従来のアニール炉において、約3
    00℃と約800℃の間の温度で、約5分から約50分
    間、前記基板をアニールするステップを有することを特
    徴とする請求項17に記載の方法。
  29. 【請求項29】更に、急速熱アニールプロセッサにおい
    て、約500℃と約800℃の間の温度で、約30秒か
    ら約120秒間、前記基板をアニールするステップを有
    することを特徴とする請求項17に記載の方法。
  30. 【請求項30】さらに、(e)(1)基板上に金属酸化
    物の層を堆積するステップと、(2)前記金属酸化物の
    層を硝酸化ガスに曝して、前記金属酸化物の層を高密度
    化し、金属窒化物の層を形成するステップと、を有す
    る、前記誘電体の層と第2の電極間に金属窒化物の層を
    堆積するステップを有することを特徴とする請求項17
    に記載の方法。
  31. 【請求項31】前記金属酸化物の膜はタンタル酸化物
    (Ta2O5)を有し、且つ金属窒化物の膜はタンタル窒化
    物(TaN)を有することを特徴とする請求項30に
    記載の方法。
  32. 【請求項32】前記金属窒化物の層及び前記誘電体の層
    は、同じ先駆物質から堆積されることを特徴とする請求
    項30に記載の方法。
JP2001097372A 2000-02-22 2001-02-22 基板を処理するめの方法 Withdrawn JP2002009016A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/510582 2000-02-22
US09/510,582 US6319766B1 (en) 2000-02-22 2000-02-22 Method of tantalum nitride deposition by tantalum oxide densification

Publications (1)

Publication Number Publication Date
JP2002009016A true JP2002009016A (ja) 2002-01-11

Family

ID=24031320

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001097372A Withdrawn JP2002009016A (ja) 2000-02-22 2001-02-22 基板を処理するめの方法

Country Status (5)

Country Link
US (2) US6319766B1 (ja)
EP (1) EP1127956A3 (ja)
JP (1) JP2002009016A (ja)
KR (1) KR20010083240A (ja)
SG (1) SG86459A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI404811B (zh) * 2009-05-07 2013-08-11 Atomic Energy Council 金屬氮氧化物薄膜結構之製作方法

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100386447B1 (ko) * 1999-12-23 2003-06-02 주식회사 하이닉스반도체 반도체장치의 커패시터 제조방법
KR100358069B1 (ko) * 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
GB2361244B (en) * 2000-04-14 2004-02-11 Trikon Holdings Ltd A method of depositing dielectric
KR100333376B1 (ko) * 2000-06-30 2002-04-18 박종섭 반도체 소자의 게이트 제조방법
JP2002043299A (ja) * 2000-07-19 2002-02-08 Tokyo Electron Ltd 被処理体の熱処理方法
TWI297510B (ja) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
US6573150B1 (en) * 2000-10-10 2003-06-03 Applied Materials, Inc. Integration of CVD tantalum oxide with titanium nitride and tantalum nitride to form MIM capacitors
JP2002289816A (ja) * 2001-03-23 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
DE10114956C2 (de) * 2001-03-27 2003-06-18 Infineon Technologies Ag Verfahren zum Herstellen einer dielektrischen Schicht als Isolatorschicht für einen Grabenkondensator
US6534360B2 (en) * 2001-04-04 2003-03-18 Applied Materials, Inc. Process for depositing layers on a semiconductor wafer
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
KR100465761B1 (ko) * 2002-06-17 2005-01-13 삼성전자주식회사 탄탈륨 질화막을 포함하는 반도체 배선 구조 및 그 형성방법
US6541331B2 (en) * 2001-08-09 2003-04-01 International Business Machines Corporation Method of manufacturing high dielectric constant material
US6645853B1 (en) 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
KR100505043B1 (ko) * 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
DE10239869A1 (de) * 2002-08-29 2004-03-18 Infineon Technologies Ag Verbesserung der dielektrischen Eigenschaften von Schichten aus High-k-Materialien durch Plasmabehandlung
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
JP4005602B2 (ja) * 2003-03-17 2007-11-07 富士通株式会社 半導体装置の製造方法
KR100560666B1 (ko) * 2003-07-07 2006-03-16 삼성전자주식회사 반도체 소자 제조용 금속막 증착 시스템 및 그 운용 방법
US7186446B2 (en) * 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
KR100668970B1 (ko) * 2003-11-05 2007-01-12 동부일렉트로닉스 주식회사 플라즈마 처리장치 및 이를 사용한 질화막 형성 방법
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7067422B2 (en) * 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US6989323B2 (en) * 2004-04-28 2006-01-24 International Business Machines Corporation Method for forming narrow gate structures on sidewalls of a lithographically defined sacrificial material
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7453149B2 (en) * 2004-08-04 2008-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7518179B2 (en) 2004-10-08 2009-04-14 Freescale Semiconductor, Inc. Virtual ground memory array and method therefor
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060270066A1 (en) 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7262997B2 (en) * 2005-07-25 2007-08-28 Freescale Semiconductor, Inc. Process for operating an electronic device including a memory array and conductive lines
US20070020840A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including nanocrystal storage elements in a trench
US7619270B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7582929B2 (en) * 2005-07-25 2009-09-01 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements
US7642594B2 (en) * 2005-07-25 2010-01-05 Freescale Semiconductor, Inc Electronic device including gate lines, bit lines, or a combination thereof
US7285819B2 (en) * 2005-07-25 2007-10-23 Freescale Semiconductor, Inc. Nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7394686B2 (en) * 2005-07-25 2008-07-01 Freescale Semiconductor, Inc. Programmable structure including discontinuous storage elements and spacer control gates in a trench
US7256454B2 (en) * 2005-07-25 2007-08-14 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements and a process for forming the same
US7112490B1 (en) * 2005-07-25 2006-09-26 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench
US7619275B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7314798B2 (en) * 2005-07-25 2008-01-01 Freescale Semiconductor, Inc. Method of fabricating a nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7250340B2 (en) * 2005-07-25 2007-07-31 Freescale Semiconductor, Inc. Method of fabricating programmable structure including discontinuous storage elements and spacer control gates in a trench
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
DE102005051819B3 (de) * 2005-10-28 2007-06-14 Infineon Technologies Ag Herstellungsverfahren für Halbleiterstrukturen
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
KR101216199B1 (ko) 2006-03-09 2012-12-27 어플라이드 머티어리얼스, 인코포레이티드 낮은 에너지 플라즈마 시스템을 이용하여 하이 유전상수 트랜지스터 게이트를 제조하기 위한 방법 및 장치
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR100764739B1 (ko) * 2006-05-10 2007-10-08 삼성전자주식회사 반도체 소자의 형성 방법
US20080132060A1 (en) * 2006-11-30 2008-06-05 Macronix International Co., Ltd. Contact barrier layer deposition process
US7651916B2 (en) * 2007-01-24 2010-01-26 Freescale Semiconductor, Inc Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7838922B2 (en) * 2007-01-24 2010-11-23 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US7572699B2 (en) * 2007-01-24 2009-08-11 Freescale Semiconductor, Inc Process of forming an electronic device including fins and discontinuous storage elements
JP4751460B2 (ja) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
US9803088B2 (en) * 2009-08-24 2017-10-31 Basf Corporation Enhanced performance of mineral based aqueous barrier coatings
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) * 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR20230144106A (ko) 2017-11-11 2023-10-13 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20190229053A1 (en) * 2018-01-22 2019-07-25 United Microelectronics Corp. Metal-insulator-metal capacitor structure and manufacturing method thereof
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11800824B2 (en) * 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
CN116666935A (zh) * 2023-06-19 2023-08-29 本源量子计算科技(合肥)股份有限公司 共面波导谐振腔及其制造方法、超导量子芯片

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4464701A (en) * 1983-08-29 1984-08-07 International Business Machines Corporation Process for making high dielectric constant nitride based materials and devices using the same
GB8623718D0 (en) * 1986-10-02 1986-11-05 British Aerospace Real time generation of stereo depth maps
US4782380A (en) 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4886966A (en) 1988-01-07 1989-12-12 Kabushiki Kaisha Toshiba Apparatus for introducing samples into an inductively coupled, plasma source mass spectrometer
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
EP0486475B1 (en) * 1988-03-03 1997-12-03 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
AU616736B2 (en) * 1988-03-03 1991-11-07 Asahi Glass Company Limited Amorphous oxide film and article having such film thereon
US5196365A (en) 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
DE69125323T2 (de) * 1990-07-24 1997-09-25 Semiconductor Energy Lab Verfahren zum Herstellen isolierender Filme, Kapazitäten und Halbleiteranordnungen
KR100215338B1 (ko) * 1991-03-06 1999-08-16 가나이 쓰도무 반도체 장치의 제조방법
JPH0677402A (ja) * 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
US5800857A (en) * 1992-09-18 1998-09-01 Pinnacle Research Institute, Inc. Energy storage device and methods of manufacture
JP2786071B2 (ja) 1993-02-17 1998-08-13 日本電気株式会社 半導体装置の製造方法
JP2813283B2 (ja) * 1993-07-14 1998-10-22 富士通株式会社 バーコード読取り装置
JP2679599B2 (ja) 1993-12-02 1997-11-19 日本電気株式会社 半導体装置の製造方法
US5677015A (en) 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
JP2636755B2 (ja) * 1994-11-09 1997-07-30 日本電気株式会社 半導体装置および半導体装置の製造方法
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5980977A (en) * 1996-12-09 1999-11-09 Pinnacle Research Institute, Inc. Method of producing high surface area metal oxynitrides as substrates in electrical energy storage
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5872696A (en) * 1997-04-09 1999-02-16 Fujitsu Limited Sputtered and anodized capacitors capable of withstanding exposure to high temperatures
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6162744A (en) * 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100795534B1 (ko) 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI404811B (zh) * 2009-05-07 2013-08-11 Atomic Energy Council 金屬氮氧化物薄膜結構之製作方法

Also Published As

Publication number Publication date
SG86459A1 (en) 2002-02-19
US6319766B1 (en) 2001-11-20
EP1127956A2 (en) 2001-08-29
US6638810B2 (en) 2003-10-28
KR20010083240A (ko) 2001-08-31
US20030008501A1 (en) 2003-01-09
EP1127956A3 (en) 2002-09-25

Similar Documents

Publication Publication Date Title
US6638810B2 (en) Tantalum nitride CVD deposition by tantalum oxide densification
US6491978B1 (en) Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6365519B2 (en) Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
US6475902B1 (en) Chemical vapor deposition of niobium barriers for copper metallization
KR100462097B1 (ko) 질화티탄막의 형성 방법 및 당해 방법으로 제조된 생성물
KR101468241B1 (ko) 상호접속 구조체 및 다마신 구조체의 제조 방법
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US20030013297A1 (en) Reliability barrier integration for Cu application
US20020016085A1 (en) Method and apparatus for treating low k dielectric layers to reduce diffusion
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
KR20010043541A (ko) 컨포멀 층을 형성하기 위한 다단계 방법
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
KR20010052610A (ko) 엑스-시츄 콘택 충진을 위한 플라즈마 처리
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
JP2001135635A (ja) 研磨されたハロゲンドープシリコンガラスの窒素処理
US6167836B1 (en) Plasma-enhanced chemical vapor deposition apparatus
US6753258B1 (en) Integration scheme for dual damascene structure
JP3208124B2 (ja) 半導体装置、半導体装置の製造方法、および半導体装置の製造装置
US6211072B1 (en) CVD Tin Barrier process with improved contact resistance
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric
US6855630B1 (en) Method for making contact with a doping region of a semiconductor component
JP3639142B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080513