JP2001135635A - 研磨されたハロゲンドープシリコンガラスの窒素処理 - Google Patents

研磨されたハロゲンドープシリコンガラスの窒素処理

Info

Publication number
JP2001135635A
JP2001135635A JP2000188190A JP2000188190A JP2001135635A JP 2001135635 A JP2001135635 A JP 2001135635A JP 2000188190 A JP2000188190 A JP 2000188190A JP 2000188190 A JP2000188190 A JP 2000188190A JP 2001135635 A JP2001135635 A JP 2001135635A
Authority
JP
Japan
Prior art keywords
plasma
fsg
substrate
nitrogen
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000188190A
Other languages
English (en)
Other versions
JP4575552B2 (ja
Inventor
Musaddo Hichemu
ムサッド ヒチェム
Derek R Witty
アール. ウィッティ デレック
Veraikaru Manoju
ヴェライカル マノジュ
Tsuan Rin
ツァン リン
Yaxin Wang
ワン ヤシン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001135635A publication Critical patent/JP2001135635A/ja
Application granted granted Critical
Publication of JP4575552B2 publication Critical patent/JP4575552B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • C03C15/02Surface treatment of glass, not in the form of fibres or filaments, by etching for making a smooth surface
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C19/00Surface treatment of glass, not in the form of fibres or filaments, by mechanical means
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/31Pre-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Weting (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 本発明は、FSG層がCMP処理工程によっ
て平滑化されることを可能にし、且つ後続の処理工程の
時になお、これらFSG層の安定性を維持する、基板
(例えばシリコンウェハ)上に集積回路素子を製造する
ためのプロセスと装置とを提供する。 【解決手段】 フッ素ドープされたシリコンガラス
(「FSG」)の薄膜は、FSG薄膜の一部を窒化する
ために窒素含有プラズマに曝される。一実施の形態では
このFSG薄膜は、窒化に先立って化学的機械的に研磨
される。この窒化処理は、FSG薄膜から水分を除去し
フッ素を取り除くと考えられる。プラズマは、約0.4
原子%の窒素をほぼ1ミクロンの深さにまで混入するた
めにFSG薄膜を約1分間、約400℃に加熱すること
ができる。こうして本窒化処理は、FSG薄膜をバイア
深さよりも深くパッシベート(保護被覆)することがで
きる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の製造に
関する。更に詳細には、本発明は、改良された誘電体層
を有する素子を製造する方法と装置とを提供する。
【0002】
【従来の技術】集積回路は発展を続けており、一般にま
すます複雑になっている。このますます増える複雑さ
は、集積回路が製造される半導体チップに対して更に大
きな能力、すなわちより高い集積度を持たせたいという
希望から起こってくる。例えば集積された素子の回路部
品(トランジスタ、コンデンサ等)をより小さくし、部
品同士をより近づけ、単位チップ面積当たりの部品数を
多くするための努力が続けられてきた。
【0003】集積回路内の素子のフィーチャのサイズが
より小さくなるにつれて、集積回路の性能に関して新た
な懸念が起こってくる。これらのことは、新世代の素子
においては異なる取組みをする必要があるかもしれな
い。例えば動作速度と消費電力は、金属配線といった導
電性構造を電気的に絶縁するために使われる材料の誘電
率に対する集積回路の敏感度によって影響され得る。集
積回路の製造における絶縁材料として、種々の形状の酸
化シリコンまたは酸化シリコンベースのガラスが使われ
ることが多い。酸化シリコンは一部の用途では許容可能
なほどに低い誘電率を持っているが、多くのタイプの回
路では更に低い誘電率が望ましい。
【0004】いわゆるフッ素ドープされたシリコンガラ
ス(「FSG」)を製造するためにフッ素を酸化シリコ
ンに添加することは、絶縁材料の誘電率を下げることを
可能にする。しかしながら、一般にプラズマ増速CVD
(「PECVD」)プロセスといった化学気相堆積
(「CVD」)プロセス中に行われる酸化シリコンへの
フッ素の添加は、製造プロセスに新たな問題を引き起こ
す。例えば「遊離」(結合していない)フッ素は、大気
中の水蒸気を含めて水と結合してフッ化水素酸を形成す
ることがある。それからこのフッ化水素酸は、アルミニ
ウム金属配線といった集積回路の製造に使われる材料の
あるものを腐食したり、あるいは化学的に浸食する可能
性がある。更にFSG薄膜に吸収された水は一般に、フ
ッ素が第一に下げようとしていた薄膜の誘電率を増加さ
せる。
【0005】遊離フッ素とフッ化水素酸とが引き起こす
問題に取り組むために、種々の手法が開発されてきた。
それらの手法の一つは、FSG−USG層を別の薄膜で
被覆して集積回路が更に処理できるまで、大気からこの
FSGをシールするためにFSGの上にドープしてない
シリコンガラス(「USG」)の「キャップ」を形成す
ることであった。FSG薄膜を安定化するために、ウェ
ハを表面加熱またはベークするといった他の手法が開発
されている。
【0006】しかしながら、新しい集積回路製造方法の
適用は、幾つかの製造プロセスにおける従来のFSG安
定化手法の有用性を制限している。例えば化学的機械的
研磨(「CMP」)手法は現在、種々の集積回路製造業
者の製造プロセスに組み込まれている。CMPは一般
に、集積回路ウェハからある選択された量の材料を除去
して、そのウェハの表面を平滑にする。例えば、もしト
レース(配線)といった導電性材料のパターニングされ
た層の上に絶縁材料の層が堆積されると、そのパターニ
ングされた層のトポロジー(形状)の少なくとも一部
は、堆積された層の表面に残っていることが多い。幅広
い種々の平滑化手法が開発されている。しかしながらC
MP処理によって平らで滑らかな表面が作り出されるの
で、この処理は幾つかの用途では最も適切な平滑化手法
である。一般にCMPは、研磨パッドと専用の研磨剤と
を使ってウェハの表面の高い部分を除去して、その表面
を平らな平面に研磨する。CMPによって作られる平ら
な表面は、他の幾つかの理由の中でも、後続の層が堆積
されてパターニングされるとき、特に非常に小さなフィ
ーチャがその後続の層内に画定される場合に望ましいも
のである。しかしながらCMPは一般に層の表面の最上
部を除去するので、FSG薄膜が研磨されるときに、F
SG薄膜に使われる安定化手法を妨げることがある。
【0007】したがって、CMP処理に適合するFSG
安定化手法が望まれている。このようなFSG安定化手
法が、標準的な集積回路材料に適合していて、集積回路
製造環境で実行可能であることは、更に望まれている。
【0008】
【課題を解決するための手段】本発明は、FSG層がC
MP処理工程によって平滑化されることを可能にし、且
つ後続の処理工程の時になお、これらFSG層の安定性
を維持する、基板(例えばシリコンウェハ)上に集積回
路素子を製造するためのプロセスと装置とを提供する。
【0009】一実施形態では、FSG薄膜が基板に堆積
され、それから化学的機械的に研磨される。研磨された
表面は、引き続いてこのFSG薄膜の研磨済み表面を窒
素に暴露することによって窒化される。例えば基板が主
として窒素ガス(N2)から形成されたプラズマに暴露
されながら、FSG薄膜内への窒素の拡散を容易にする
ために、この基板は加熱される。更に別の実施形態で
は、基板は、所望の表面温度を達成するために必要なソ
ースプラズマ電力を削減し、それによって基板の表面の
粒子状の汚染を減らすために、バイアス高周波成分を含
むプラズマを使って加熱される。このような窒化処理で
は、下記のように少なくとも5000Åの深さ、あるい
は少なくともバイア深さにまでFSG薄膜内に窒素を混
入することが好ましい。
【0010】本発明の目的と利点とを更に理解するため
に、付属の図面と共に記述されている下記の詳細な説明
を参照すべきである。
【0011】
【発明の実施の形態】I.まえがき 本発明は、CMP処理工程の後にFSG薄膜の表面を窒
化することによって、研磨されたFSG薄膜を安定化す
る。CMP処理は、一般に湿式処理であって、FSG薄
膜を水和する。化学的機械的に研磨されたFSG薄膜の
表面を選択された条件下で窒素プラズマに暴露すると、
FSG薄膜内に窒素が混入される。FSG薄膜内の窒素
は、水素と遊離フッ素の両者に対してスカベンジャー/
ゲッター剤(不純物除去剤)として働き、こうしてフッ
化水素酸の形成を抑制すると信じられている。
【0012】更に窒素は、環境からのその後の水分吸収
の可能性を減少させて薄膜を安定化するが、この態様
は、窒素プラズマ処理の後に真空を開放しないで研磨窒
化済みのFSG表面に後続の層が堆積される実施形態で
は重要でない。ある特定の実施形態では、化学的機械的
に研磨されたFSG薄膜で被覆された基板の表面を約4
00℃の温度にまで加熱するためにソースプラズマ高周
波電力と共にバイアスプラズマ高周波電力が使われる。
これらの条件下で、FSG薄膜は、妥当な時間内でほぼ
「バイア深さ」にまで窒化される(FSG層の化学的機
械的に研磨された表面とこのFSG層の表面下の導電層
との間の距離は、その導電層との電気的接続を行うため
に引き続いてバイアが開けられて充填される深さを決定
する。これが「バイア深さ」である。)窒素をFSG薄
膜の少なくともバイア深さにまで添加すると、このバイ
ア領域でのHFの形成が抑制される。そうでない場合
は、このようなHF形成はバイア充填材料その他の構造
物に関して腐食、層間剥離あるいは粘着といった問題を
引き起こす可能性がある。
【0013】II.例示的基板処理システム 図1Aは、本発明によるハロゲンドープされた誘電体層
が堆積され、それから化学的機械的に研磨された後に引
き続いて窒化処理工程を施される高密度プラズマ化学気
相堆積(HDP−CVD)システム10の一実施形態を
示す。システム10は、チャンバ13と真空システム7
0とソースプラズマシステム80Aとバイアスプラズマ
システム80Bとガス供給システム33と遠隔プラズマ
洗浄システム50とを含んでいる。
【0014】チャンバ13の上部は、酸化アルミニウム
または窒化アルミニウムといったセラミック誘電体材料
で作られたドーム14を持っている。ドーム14は、プ
ラズマ処理領域16の上部境界を画定している。プラズ
マ処理領域16は、基板17の上面と基板支持部材18
とによって底部で境界が作られている。
【0015】ヒータプレート23とコールドプレート2
4とがドーム14の上に置かれ、ドーム14に熱的に連
結されている。ヒータプレート23とコールドプレート
24は、ドーム温度が約100℃から200℃の範囲に
亘って±約10℃以内に制御できるようにしている。こ
れによって種々のプロセスに関してドーム温度を最適化
することが可能になる。例えば洗浄プロセスやエッチン
グプロセスでは、堆積プロセスよりも高い温度にドーム
を維持することが望ましい。ドーム温度の精密な制御は
また、チャンバ内のフレーク(薄片)や粒子の数を減ら
し、堆積された層と基板との間の密着性を向上させる。
【0016】チャンバ13の下部は、チャンバを真空シ
ステムに結合する本体部材22を持っている。基板支持
部材18のベース部分21は、本体部材22に取り付け
られ、これと連続する内部表面を形成している。基板
は、ロボットブレード(図示せず)によってチャンバ1
3からチャンバ13の側面の挿入排出口(図示せず)を
通して搬出入される。昇降ピン(図示せず)は、電動機
(これも図示せず)の制御下で上昇し、それから下降し
て、基板を上部積載位置57のロボットブレードから下
方の処理位置56に移動させられ、そこで基板は基板支
持部材18の基板受け部19上に置かれる。基板受け部
19は、所望であれば基板処理中、基板支持部材18に
基板を選択的に固定することができる静電チャック20
を持っている。好適な実施の形態では基板支持部材18
は、酸化アルミニウムまはたアルミニウムセラミック材
料で作られている。
【0017】真空システム70は、ツインブレード(2
枚羽根)絞り弁(スロットルバルブ)26を収納してい
てゲートバルブ27に取り付けられている絞り弁体25
と、ターボ分子ポンプ28とを含んでいる。絞り弁体2
5は、参考のためにここに組み入れてある、1995年
12月12日に出願された同時係属同時譲渡中の米国特
許出願第08/574,839号に記載されているよう
に、ガス流に対する障害を最小にし、また対称なポンプ
動作を可能にするということに留意すべきである。ゲー
トバルブ27は、絞り弁体25からポンプ28を隔離で
き、また絞り弁26が全開の時に排気流量を制限するこ
とによってチャンバ圧を制御することもできる。絞り弁
とゲートバルブとターボ分子ポンプとの配置は、約1m
Torrから約2Torrまでの間でチャンバ圧の精密
で安定な制御を可能にしている。
【0018】ソースプラズマシステム80Aは、ドーム
14に取り付けられた上部コイル29と側面コイル30
とを持っている。対称なグランドシールド(図示せず)
が、これらのコイル間の電気的結合を小さくしている。
上部コイル29は、上部ソース高周波(SRF)発生器
31Aによって付勢され、これに対して側面コイル30
は、側面SRF発生器31Bによって付勢されており、
各コイルに関して独立した電力レベルと動作周波数とを
可能にしている。この二重コイルシステムは、チャンバ
13内の放射イオン密度の制御を可能にし、それによっ
てプラズマの均一性を向上させている。側面コイル30
と上部コイル29は、一般に誘導的に駆動され、これは
補助電極を必要としない。ある特定の実施形態では上部
ソース高周波発生器31Aは、公称2MHzで最大2,
500ワットまでの高周波電力を供給し、側面ソース高
周波発生器31Bは、公称2MHzで最大5,000ワ
ットまでの高周波電力を供給する。上部および側面高周
波発生器の動作周波数は、プラズマ発生効率を向上させ
るために公称動作周波数から(例えばそれぞれ1.7か
ら1.9MHzと1.9から2.1MHzに)ずらすこ
とができる。
【0019】バイアスプラズマシステム80Bは、バイ
アス高周波(BRF)発生器31Cとバイアス整合ネッ
トワーク32Cとを持っている。このバイアスプラズマ
システム80Bは、基板部17を、補助電極として働く
本体部材22に容量的に結合している。バイアスプラズ
マシステム80Bは、ソースプラズマシステム80Aに
よって生成されたプラズマ種(例えばイオン)の基板表
面への搬送を向上させるために役立っている。ある特定
の実施形態ではバイアス高周波発生器は、13.56M
Hzで最大5,000ワットまでの高周波電力を供給す
る。
【0020】高周波発生器31A、31Bは、ディジタ
ル制御の合成器を持っており、約1.8MHzから2.
1MHzまでの周波数範囲に亘って動作する。各発生器
は、チャンバとコイルから反射して発生器に戻る電力を
測定する高周波制御回路(図示せず)を持っており、同
業者によって理解されるように、反射電力を最小にする
ように動作周波数を調整する。高周波発生器は一般に、
50オームの特性インピーダンスを有する負荷で作動す
るように設計されている。高周波電力は、発生器からよ
りも異なる特性インピーダンスを有する負荷から反射さ
れる可能性がある。これは、負荷に伝達される電力を減
少させる。更に負荷から発生器に反射されて発生器に戻
る電力は、発生器を過負荷にして損傷を与える可能性が
ある。プラズマのインピーダンスは、プラズマイオン密
度によって、他の要因の間でも5オーム未満から900
オームを超える範囲に亘っているため、また反射電力は
周波数の関数であるから、反射電力にしたがって発生器
の周波数を調整することにより、高周波発生器からプラ
ズマに伝達される電力が増加し、発生器も保護される。
反射電力を減らして効率を向上させる他の方法は、整合
ネットワークによるものである。
【0021】整合ネットワーク32A、32Bは、それ
ぞれのコイル29、30を有する発生器31A、31B
の出力インピーダンスを整合させる。高周波制御回路
は、負荷が変化するにつれて発生器を負荷に整合させる
ように整合ネットワーク内のコンデンサの値を変化させ
ることによって両整合ネットワークを調整(チューニン
グ)する。高周波制御回路は、負荷から反射して発生器
に戻る電力がある一定の限界を超えたときに整合ネット
ワークを調整することができる。一定の整合を与えて、
整合ネットワークの調整から高周波制御回路を効率的に
不作動にする一つの方法は、反射電力限界を反射電力の
如何なる期待値よりも上に設定することである。これ
は、整合ネットワークを最も新しい条件で一定に保持す
ることによって、幾つかの条件下でプラズマを安定にす
る助けとなる。
【0022】他の測定もプラズマ安定化に役立つ可能性
がある。例えば高周波制御回路は、負荷(プラズマ)に
送られる電力を決定するために使用でき、またある層の
堆積中は供給される電力を実質的に一定に保持するよう
に発生器出力電力を増減することもできる。
【0023】ガス供給システム33は、数個のガス源3
4A−34Fからガス供給ライン38(その一部だけ図
示されている)を経由して基板を処理するチャンバにガ
スを供給する。同業者によって理解されるように、ガス
源34Aから34Fのために使われる実際のガス源とチ
ャンバ13へのガス供給ライン38の実際の接続とは、
チャンバ13内で実行される堆積プロセスや洗浄プロセ
スによって変わる。ガスは、ガスリング37および/ま
たは上部ノズル45を介してチャンバ13内に導入され
る。図1Bは、ガスリング37の更に細部を示すチャン
バ13の単純化された部分断面図である。
【0024】一実施形態では、第1、第2のガス源34
A、34Bと第1、第2のガス流コントローラ35
A’、35B’は、ガス供給ライン38(その一部だけ
図示されている)を経由してガスリング37内のリング
プレナム(リング空間)36にガスを供給する。ガスリ
ング37は、基板上に均一なガス流を供給する複数のソ
ースガスノズル39(説明のためにその一つだけが図示
されている)を持っている。ノズル長とノズル角は、個
々のチャンバ内の特定のプロセスのために均一性プロフ
ァイルの適応化とガス利用の効率化を可能にするように
変えることができる。好適な一実施の形態では、ガスリ
ング37は、酸化アルミニウムセラミック製の12本の
ソースガスノズルを持っている。
【0025】ガスリング37はまた、複数の酸化剤ガス
ノズル40(その一つだけが図示されている)を持って
おり、これは好適な実施の形態では、ソースガスノズル
と同一平面上にあって、これより短くなっており、また
一実施の形態では、本体プレナム41からガスを受け取
る。幾つかの実施の形態では、ソースガスと酸化剤ガス
とをチャンバ13内に注入する前にこれらのガスを混合
しないことが望ましい。他の実施の形態では、酸化剤ガ
スとソースガスは、本体プレナム41とガスリングプレ
ナム36との間に窓(図示せず)を設けることによっ
て、これらのガスをチャンバ13内に注入する前に混合
できる。一実施形態では、第3、第4のガス源34C、
34Dと第3、第4のガス流コントローラ35C’、3
5D’は、ガス供給ライン38を経由して本体プレナム
にガスを供給する。窒素源34Fは、窒素プラズマを利
用する処理工程のために、ガスリングの酸化剤ノズルへ
の窒素ガス(N2)をチャンバに供給する。その代替と
して窒素ガスは、上部ノズルといった他のあるいは追加
の導入口を経由してチャンバに供給することもできる。
43Bといった追加のバルブ(図示してない他のバル
ブ)は、ガス流コントローラからチャンバへのガスを遮
断することができる。
【0026】可燃性、有毒あるいは腐食性ガスが使用さ
れる実施形態では、堆積後にガス供給ライン内に残留す
るガスを除去することが望ましい。これは、チャンバ1
3を供給ライン38Aから隔離するため、また例えば供
給ライン38Aを真空フォアライン44に連通させるた
めに、バルブ43Bといった3ウェイバルブを使って達
成できる。図1Aに示すように、43A、43Cといっ
た他の類似のバルブを他のガス供給ラインに組み入れる
こともできる。このような3ウェイバルブは、連通口の
ないガス供給ラインの体積(3ウェイバルブとチャンバ
間の)を最小にするように、できるだけチャンバ13の
近くに配置することができる。更に2ウェイ(オンオ
フ)バルブ(図示せず)をマスフローコントローラ
(「MFC」)とチャンバとの間、またはガス源とMF
Cとの間に置いてもよい。
【0027】再び図1Aを参照すれば、チャンバ13は
また、上部ノズル45と上部通気孔46とを持ってい
る。上部ノズル45と上部通気孔46は、上方ガス流と
側方ガス流とを独立に制御することを可能にしており、
これが薄膜の均一性を向上させ、薄膜の堆積とドーピン
グパラメータの微調整を可能にしている。上部通気孔4
6は、上部ノズル45の周りの環状開口部である。一実
施の形態では、第1のガス源34Aは、ソースガスノズ
ル39と上部ノズル45とにソースガスを供給する。ソ
ースノズルMFC35A’は、ソースガスノズル39に
供給されるガスの量を制御し、上部ノズルMFC35A
は、上部ノズル45に供給されるガスの量を制御する。
同様に二つのMFC35B、35B’は、ガス源34B
といった単一の酸素源から上部通気孔46と酸化剤ガス
ノズル40との両者への酸素の流れを制御するために使
用することができる。上部ノズル45と上部通気孔46
とに供給されるガスは、チャンバ13内に流し込む前に
分離しておいてもよく、あるいはこれらのガスをチャン
バ13内に流し込む前に上部プレナム48内で混合して
もよい。チャンバの種々の部分に供給するために同一ガ
スの別々のガス源を使ってもよい。
【0028】チャンバコンポーネントから堆積残留物を
定期的に洗浄除去するために、遠隔マイクロ波発生プラ
ズマ洗浄システム50が設けられている。この洗浄シス
テムは、反応器空洞53内で洗浄ガス源34E(例えば
分子状フッ素、三フッ化窒素、その他のフッ化炭化水素
または同等物)からプラズマを発生させる遠隔マイクロ
波発生器51を持っている。このプラズマから生成され
る反応種は、アプリケータチューブ(供給管)55を通
って洗浄ガス供給口54を経てチャンバ13に運ばれ
る。洗浄プラズマを収納するために使用される材料(例
えば空洞53、供給管55)は、プラズマからの攻撃に
耐えなくてはならない。反応器空洞53と供給口54と
の間の距離は、所望のプラズマ種の濃度が反応器空洞5
3からの距離にしたがって減少するので、できるかぎり
短くしておくべきである。遠隔の空洞内で洗浄プラズマ
を発生させることによって、効率的なマイクロ波発生器
の使用が可能となり、チャンバコンポーネントは、イン
シトゥに形成されるプラズマ内に存在し得るグロー放電
の温度や放射やボンバードメントを受けなくなる。その
結果、静電チャック20といった比較的敏感なコンポー
ネントでも、ダミーウェハでカバーすることも、本来の
プラズマ洗浄プロセスで必要とされるように保護するこ
とも必要なくなる。
【0029】システムコントローラ60は、システム1
0の動作を制御する。好適な実施の形態では、コントロ
ーラ60は、プロセッサ61に連結されたハードディス
ク駆動装置、フロッピーディスク駆動装置(図示せ
ず)、カードラック(図示せず)といったメモリ62を
持っている。カードラックは、シングルボードコンピュ
ータ(SBC)(図示せず)と、アナログディジタル入
出力ボード(図示せず)と、インタフェースボード(図
示せず)と、パルスモータコントローラボード(図示せ
ず)とを含むことができる。システムコントローラは、
ボードとカードケージとコネクタの寸法とタイプとを定
義しているVersa Modular Europe
an(VME)規格に準拠している。VME規格はま
た、16ビットデータバスと24ビットアドレスバスと
を有するようなバス構造も定義している。システムコン
トローラ31は、ハードディスク駆動装置に記憶された
コンピュータプログラムの制御下で、あるいは取り外し
可能ディスクに記憶されたプログラムといった他のコン
ピュータプログラムを介して動作する。このコンピュー
タプログラムは例えば、ある特定のプロセスのタイミン
グ、ガスの混合、高周波電力のレベル、その他のパラメ
ータを指示する。ユーザとシステムコントローラとの間
のインタフェースは、図1Cに示すような陰極線管(C
RT)65といったモニターとライトペン66とを介し
て行われる。
【0030】図1Cは、図1Aの例示的CVDプロセス
チャンバと共に使用される例示的システムユーザインタ
フェースの一部分の説明図である。システムコントロー
ラ60は、コンピュータ読取り可能メモリ62に接続さ
れたプロセッサ61を持っている。メモリ62は、ハー
ドディスク駆動装置62であることが好ましいが、RO
M、PROM等といったその他の種類のメモリであって
もよい。
【0031】システムコントローラ60は、メモリ62
内にコンピュータ読取り可能フォーマットで記憶された
コンピュータプログラム63の制御下で動作する。この
コンピュータプログラムは、ある特定のプロセスのタイ
ミング、温度、ガス流、高周波電力のレベル、その他の
パラメータを指示する。ユーザとシステムコントローラ
との間のインタフェースは、図1Cに示すようなCRT
モニター65とライトペン66とを介して行われる。好
適な実施の形態では、二つのモニター65、65Aと二
つのライトペン66、66Aが使用され、一方はオペレ
ータ用にクリーンルームの壁(65)に取り付けられて
おり、他方はサービス技術者用にその壁の後ろ(65
A)に取り付けられている。両モニターは同一情報を同
時に表示するが、ライトペンは1本(例えば66)だけ
が使用できる。特定の画面あるいは機能を選択するため
に、オペレータは表示画面のある領域にタッチしてペン
のボタン(図示せず)を押す。タッチされた領域は、例
えばそのカラーを変えるか、新しいメニューを表示する
ことによってライトペンによる選択を確認する。
【0032】コンピュータプログラムのコードは、68
000アセンブリー言語、C、C++、またはパスカル
といったどのような従来のコンピュータ読取り可能プロ
グラミング言語で書かれていてもよい。適当なプログラ
ムコードは、通常のテキストエディタを使って、単一フ
ァイルか複数ファイルに入れられ、コンピュータのメモ
リシステムといったコンピュータの使用可能な媒体に記
憶され、あるいは組み入れられる。もし入力されたコー
ドテキストが高級言語で書かれていれば、そのコードは
コンパイルされ、それからその結果得られたコンパイラ
コードはプリコンパイルされたウィンドウズライブラリ
ルーチンのオブジェクトコードにリンクされる。リンク
されたコンパイル済みオブジェクトコードを実行するた
めに、システムユーザは、そのオブジェクトコードを呼
び出してコンピュータシステムにメモリ内にそのコード
をロードさせる。CPUは、メモリからコードを読み取
って、プログラムで識別されるタスクを行うようにその
コードを実行する。
【0033】図1Dは、コンピュータプログラム100
の階層的制御構造の例示的ブロック図を示す。ユーザ
は、ライトペンインタフェースを使用することによって
CRTモニター上に表示されたメニューまたは画面に応
答してプロセスセレクタサブルーチン102内にプロセ
スセット番号とプロセスチャンバ番号とを入力する。プ
ロセスセットとは、指定されたプロセスを実行するため
に必要なプロセスパラメータの予め決められたセットの
ことであり、予め決められたセット番号によって識別さ
れる。プロセスセレクタサブルーチン102は、(i)
マルチチャンバシステム内の所望のプロセスチャンバ
と、(ii)所望のプロセスを実行するためのプロセス
チャンバを操作するために必要なプロセスパラメータの
所望のセットとを識別する。特定のプロセスを実行する
ためのプロセスパラメータは、プロセスガスの組成およ
び流量と温度と圧力と高周波電力レベルのようなプラズ
マ条件とチャンバドーム温度と、などといった諸条件に
関係しており、レシピ(処方箋)の形でユーザに提供さ
れる。このレシピによって指定されたパラメータは、ラ
イトペン/CRTモニターインタフェースを利用して入
力される。
【0034】プロセスを監視するための信号は、システ
ムコントローラ60のアナログディジタル入力ボードに
よって与えられ、プロセスを制御するための信号は、シ
ステムコントローラ60のアナログディジタル出力ボー
ド上に出力される。
【0035】プロセスシーケンササブルーチン104
は、プロセスセレクタサブルーチン102から、識別さ
れたプロセスチャンバとプロセスパラメータのセットと
を受け入れて、種々のプロセスチャンバの動作を制御す
るためのプログラムコードを持っている。多数のユーザ
がプロセスセット番号とプロセスチャンバ番号とを入力
することができ、あるいは一人のユーザが多数のプロセ
スセット番号とプロセスチャンバ番号とを入力すること
ができ、またシーケンササブルーチン104は、選択さ
れたプロセスを所望の順序にスケジューリングすること
ができる。シーケンササブルーチン104は、(i)チ
ャンバが使用中であるかどうかを決定するためにプロセ
スチャンバの動作を監視する工程と、(ii)使用中の
チャンバ内でどんなプロセスが実行されているかを決定
する工程と、(iii)実行すべきプロセスのプロセス
チャンバの利用可能性とプロセスのタイプとに基づいて
所望のプロセスを実行する工程とを実行するためのプロ
グラムコードを含んでいる。ポーリングといったプロセ
スチャンバを監視する従来の方法が使用可能である。ど
のプロセスを実行すべきかをスケジューリングするとき
シーケンササブルーチン104は、各特定のユーザ入力
の要求の「年齢」とか、選択されたプロセスに関する所
望プロセス条件と比較した使用中プロセスチャンバの現
在の条件とか、スケジューリングの優先順位を決定する
ためにシステムプログラマが含ませたいと考えるその他
関連の任意の要因とかを考慮に入れるように設計するこ
とができる。
【0036】シーケンササブルーチン104がどのプロ
セスチャンバとプロセスセットとの組合せを次に実行す
べきかを決定した後に、このシーケンササブルーチン1
04は、特定のプロセスセットパラメータをチャンバマ
ネージャサブルーチン106AからCに渡すことによっ
てそのプロセスセットの実行を開始し、これがシーケン
ササブルーチン104から送られたプロセスセットにし
たがってチャンバ13と、あるいは他のチャンバ(図示
せず)との多数の処理タスクを制御する。
【0037】チャンバコンポーネントサブルーチンの例
としては、基板位置決めサブルーチン110と、プロセ
スガス制御サブルーチン112と、圧力制御サブルーチ
ン114と、プラズマ制御サブルーチン116とがあ
る。同業者であれば、どのプロセスがチャンバ13内で
実行するように選択されたかに依存して、他のチャンバ
制御サブルーチンを含ませることができるということは
理解されるであろう。運転時にチャンバマネージャサブ
ルーチン106Aは、実行中の特定のプロセスチャンバ
にしたがってプロセスコンポーネントサブルーチンを選
択的にスケジューリングするか、呼び出す。チャンバマ
ネージャサブルーチン106Aは、シーケンササブルー
チン104が実行すべきプロセスチャンバとプロセスセ
ットとをスケジューリングするのと同じ仕方で、プロセ
スコンポーネントサブルーチンをスケジューリングす
る。一般にチャンバマネージャサブルーチン106A
は、種々のチャンバコンポーネントを監視する工程と、
実行すべきプロセスセットに関するプロセスパラメータ
に基づいてどのコンポーネントを動作させる必要がある
かを決定する工程と、これら監視する工程と決定する工
程とに応じてチャンバコンポーネントサブルーチンを実
行させる工程とを含んでいる。
【0038】さて特定のチャンバコンポーネントサブル
ーチンの動作について図1A、1Dを参照しながら説明
する。基板位置決めサブルーチン110は、基板を基板
支持部材18上に積載するために使われるチャンバコン
ポーネントを制御するためのプログラムコードを持って
いる。基板位置決めサブルーチン110はまた、他のプ
ロセスが完了した後にマルチチャンバシステムの例えば
CMPチャンバ、その他のチャンバからチャンバ13内
への基板の移動を制御することもできる。
【0039】プロセスガス制御サブルーチン112は、
プロセスガスの組成と流量とを制御するためのプログラ
ムコードを持っている。サブルーチン112は、安全遮
断弁の開閉位置を制御し、また所望のガス流量を得るた
めにマスフローコントローラを立ち上げたり、立ち下げ
たりする。プロセスガス制御サブルーチン112を含む
すべてのチャンバコンポーネントサブルーチンは、チャ
ンバマネージャサブルーチン106Aによって呼び出さ
れる。サブルーチン112は、所望のガス流量に関して
チャンバマネージャサブルーチン106Aからプロセス
パラメータを受け取る。
【0040】一般にプロセスガス制御サブルーチン11
2は、ガス供給ラインを開いて、(i)必要なマスフロ
ーコントローラを読取り、(ii)その読みをチャンバ
マネージャサブルーチン106Aから受け取った所望の
流量と比較し、(iii)必要に応じてガス供給ライン
の流量を調整するという動作を繰り返す。更にプロセス
ガス制御サブルーチン112は、危険流量に関してガス
流量を監視する工程と、危険状態が検出されたときに安
全遮断弁を作動させる工程とを含むこともある。
【0041】幾つかのプロセスでは、反応性のプロセス
ガスを導入する前にチャンバ内の圧力を安定化するため
に、アルゴンといった不活性ガスをチャンバ13内に流
し込む。これらのプロセスに関してプロセスガス制御サ
ブルーチン112は、チャンバ13内の圧力を安定化す
るために必要な時間だけ不活性ガスをチャンバ13内に
流し込む工程を含むようにプログラムされる。このよう
にして上述の工程は実行することができる。
【0042】プロセスガス制御サブルーチン112はま
た、独立したヘリウム制御(IHC)サブルーチン(図
示せず)によってウェハチャックの内外の通路を通して
ヘリウム(He)などの熱伝導性ガスの流れを制御する
こともできる。ガス流は、基板をチャックに熱的に連結
する。典型的なプロセスでは、ウェハは、プラズマと層
を形成する化学反応とによって加熱され、またHeが水
冷のチャックを介して基板を冷却する。これにより基板
は、基板上に予め存在していたフィーチャに損傷を与え
る可能性のある温度より低い温度に維持される。
【0043】圧力制御サブルーチン114は、チャンバ
の排気部分の絞り弁26の開口のサイズを調整すること
によってチャンバ13内の圧力を制御するためのプログ
ラムコードを含んでいる。絞り弁を有するチャンバを制
御する基本的方法は、少なくとも二つある。第1の方法
は、チャンバ圧が特に全プロセスガス流量とプロセスチ
ャンバのサイズとポンピング容量とに関係するので、チ
ャンバ圧を特性化することに依存している。この第1の
方法は、絞り弁26を一定の位置にセットする。絞り弁
26を一定の位置にセットすることは、結果的に結局は
定常状態圧力をもたらすことができる。
【0044】その代替として、チャンバ圧は、例えばマ
ノメータで測定することもでき、また絞り弁26の位置
は、制御点がガス流と排気容量とによって設定される境
界内に入っていると仮定して、圧力制御サブルーチン1
14にしたっがって調整することもできる。前者の方法
は、後者の方法に関連する測定値と比較値と計算値とが
呼び出されないので、結果的にチャンバ圧をより迅速に
変化させることができる。チャンバ圧の精密な制御が要
求されない場合には前者の方法が望ましいこともある
が、層の堆積中のように正確で再現性があって安定な圧
力が欲しい場合には後者の方法が望ましい。
【0045】圧力制御サブルーチン114が呼び出され
ると、チャンバマネージャサブルーチン106Aからの
パラメータとして、所望の、または目標の圧力レベルが
受信される。圧力制御サブルーチン114は、チャンバ
に接続された一つ以上の通常の圧力マノメータを読み取
ってチャンバ13内の圧力を測定し、その測定値を目標
圧力と比較し、記憶された圧力テーブルからこの目標圧
力に対応する比例、積分、微分(PID)値を取得し、
この圧力テーブルから取得されたPID値にしたがって
絞り弁26を調整する。その代替として圧力制御サブル
ーチン114は、所望の圧力または圧力範囲にチャンバ
13内の圧力を調整するために特定の開口サイズに絞り
弁26を開く、または閉じることもできる。
【0046】プラズマ制御サブルーチン116は、高周
波発生器31A、31Bの周波数出力と電力出力の設定
を制御するための、また整合ネットワーク32A、32
Bを調整するためのプログラムコードを含んでいる。プ
ラズマ制御サブルーチン116は、前述のチャンバコン
ポーネントサブルーチンと同様にチャンバマネージャサ
ブルーチン106Aによって呼び出される。
【0047】上述のサブシステムとルーチンの一部また
はすべてを組み入れることができるシステムの例は、本
発明を実現するように構成された、カリフォルニア州サ
ンタクララのAPPLIED MATERIALS,I
NC.製のULTIMA(登録商標名)システムであろ
う。このようなシステムの詳細は、Fred C.Re
dekerとFarhad MoghadamとHir
ogi HanawaとTetsuya Ishika
waとDan MaydanとShijianLiとB
rian LueとRobert StegerとYa
xin WangとManus WongとAshok
Sinhaとが共同発明者として列挙されている、
「Symmetric Tunable Induct
ively−Coupled HDP−CVD Rea
ctor(対称的調整可能な誘導的に連結されたHDP
−CVD反応器)」と題する、1996年7月15日出
願の米国特許出願第08/679,927号に開示され
ており、この開示は参考のためにここに組み入れてあ
る。ここに記述したシステムは、単に例示目的のためで
ある。本技術に精通した人が本発明の方法を実現するた
めに適当な従来の基板処理システムとコンピュータ制御
システムとを選択することは、通常のスキルの問題であ
ろう。
【0048】図1Eは、本発明の幾つかの実施の形態に
おいてハロゲンドープされた酸化シリコン層が研磨され
て平滑化され得る例示的なCMPチャンバ80の単純化
された断面図である。CMPチャンバ80は、その上面
に研磨パッド84を配置した回転テーブル82を持って
いる。回転する基板保持具86は、基板がパッド84に
よって研磨される間、半導体ウェハといった基板88を
保持する。研磨中、基板88とパッド84との間には適
当なスラリーが供給され、基板には予め決められた圧力
がパッドによって掛けられる。
【0049】チャンバ13、80は、多数の基板が中央
ロボットの周りに配置され、ロボットによって用意され
るクラスタツールシステムの一部であることもある。こ
のようなクラスタツールシステム120の一例を図1F
に示す。その代替として、チャンバ13はクラスタツー
ルシステム120の一部であり、CMPチャンバ80は
多数の研磨ステーションまたはチャンバを有する分離型
CMPツールの一部であることもある。基板は、システ
ム120と分離型CMPチャンバ80との間を人手で移
されてもよく、あるいは同業者に知られているようにコ
ンベヤーベルトおよび/または適当なロボットシステム
を使って自動的に移されてもよい。このような多数ステ
ーションCMP装置とその関連手法との一例は、App
liedMaterials,Inc.に共通に譲渡さ
れた、Tolles等による、「CONTINUOUS
PROCESSING SYSTEM FOR CH
EMICAL MECHANICAL POLISHI
NG(化学的機械的研磨のための連続処理システム)」
と題する米国特許第5、738、574号に開示されて
おり、あらゆる目的で参考のためここに組み入れてあ
る。本発明に関してハロゲンドープされた層を平滑にす
るために使用できる分離型クラスタツールである商業的
に入手可能な多数ステーションCMP装置は、Appl
ied Materials,Inc.製のmirra
(登録商標名)CMPシステムである。
【0050】図1Fにおいてクラスタツールシステム1
20は、真空積荷固定チャンバ125、130を持って
いる。積荷固定チャンバ125、130は、基板がシス
テム120に入って出てくる間中、内側チャンバ135
の内部を真空状態に維持する。ロボット140は、基板
処理チャンバ145と加熱チャンバ150に対して積荷
固定チャンバ125、130から/へ基板を供給する。
処理チャンバ145は、CVD、エッチング等といった
多数の基板処理動作を実行するように装備しておくこと
ができる。加熱チャンバ150は、アニール工程といっ
た加熱処理工程に使用できる。
【0051】パススルー(通過)チャンバ155は、基
板がロボット135からロボット165に積み替えられ
ることを可能にしている時に内部チャンバ160内の超
高真空状態を維持するために使われる。ロボット165
は、パススルーチャンバ155から基板処理チャンバ1
70から185に基板を供給する。処理チャンバ145
と同様に、処理チャンバ170から185は、種々の基
板処理動作を実行するように装備できる。ある場合には
処理チャンバ170は、CMP動作を実行するように装
備され、処理チャンバ175は、本発明にしたがって窒
化処理を行うように装備され、処理チャンバ180は、
FSG堆積を行う。
【0052】動作中、基板は、システムコントローラ6
0によって実行されるコンピュータプログラムの制御下
で動作するコンベヤーベルトまたはロボットシステム
(図示せず)によって真空積荷固定チャンバに搬送され
る。またロボット140、165は、システムコントロ
ーラ60上で実行するコンピュータプログラムの制御下
で動作して、クラスタツール120の種々の処理チャン
バ間で基板を移動させる。
【0053】III. 例示的プロセスの流れ 図2は、本発明によるプロセス200の一例を表す単純
化された流れ図である。図2で、基板上にFSG層が堆
積される(工程202)。それからFSG層は、薄膜を
平滑化するために化学的機械的に研磨され(工程20
4)、その後このFSG層の表面は、本出願で後述する
ように窒化される(工程206)。同業者であれば、C
MP後の洗浄といった追加の処理工程が実行されること
もあることを認めるであろう。それから基板は、この基
板上での集積回路の製造を完了させるために更に処理さ
れる可能性がある(図示せず)。
【0054】本発明のある特定の例示的応用では、堆積
されたFSG層は、約7アトミックパーセント(原子
%)のフッ素濃度と約16,000Åの堆積厚さとを持
っている。FSG堆積順序の例は、1997年6月3日
に出願された、Orezyk等による、「SEQUEN
CING THE RECIPE STEPS FOR
THE OPTIMAL LOW−DIELECTRI
C CONSTANTHDP−CVD PROCESS
ING(最適な低誘電率HDP−CVD処理のためのレ
シピ工程の順序づけ)」と題する米国特許出願第08/
868,286号に見ることができる。この第08/8
68,286号出願は、本出願の譲受人であるAppl
ied Materials,Inc.に譲渡されてお
り、あらゆる目的で参考のためにここに組み入れてあ
る。米国特許出願第08/868,286号に記述され
た堆積順序は、FSG層を堆積する前にUSGライナー
層を堆積することを含む順序を記述している。いかなる
薄膜の堆積にも先立って、ウェハはプラズマに印加され
る高周波バイアスなしの(すなわちソース高周波電力だ
けを使用した)プラズマによって加熱される。この加熱
シーケンスは、引き続いて誘電体層が堆積される金属配
線のコーナーといった微細パターンのスパッタエッチン
グを回避する。このシーケンスでは後で、金属配線のコ
ーナーをカバーする薄いライナー層を含む微細なフィー
チャのスパッタエッチングあるいはフッ素エッチングを
避けるために諸条件が維持される。同業者であれば、F
SG層を堆積するために他のプロセスを使用することも
できることを理解するであろう。例えば最初の材料を堆
積する前に高周波ソース電力とバイアス電力の両者を使
用してウェハを加熱することは可能である。また幾つか
の応用ではUSGライナー層を含むことある。この方法
は、ソース高周波電力だけを使用するよりも迅速にウェ
ハを加熱するので、ある場合には処理量を増加させ、好
ましいものである。
【0055】この例示的応用では、FSG層は、App
lied Materials,Inc.製のMirr
a(登録商標名)CMPシステムを使用して約9,00
0から10,000Åの厚さに化学的機械的に研磨され
る。同業者であれば、この厚さとドーピング濃度は単に
例として示されているもので、FSG薄膜のこの他の厚
さとドーピング濃度も使用可能であることは理解するで
あろう。FSG層の表面の窒化に関するプロセスの例と
更に詳細なことは、セクションVで以下に説明される。
【0056】IV. 例示的素子構造 図3Aは、本発明のフィーチャを組み込んだ集積回路3
00の単純化された断面図を示す。集積回路300は、
シリコンウェハ、ガリウム砒素ウェハ、その他のウェハ
といった半導体ウェハ上に製造することができる。図3
Aに示すように、集積回路300は、フィールド酸化物
領域307によって互いに分離され、電気的に絶縁され
ているNMOSトランジスタ303とPMOSトランジ
スタ306とを含んでいる。各トランジスタ303、3
06は、ソース領域308とゲート領域309とドレイ
ン領域310とを持っている。
【0057】プリメタル誘電体層311は、接点312
によって作られる金属層M1と各トランジスタとの間の
接続部よって、トランジスタ303、306を金属層M
1から分離している。金属層M1は、集積回路300に
含まれる4層の金属層M1からM4の一つである。各金
属層M1からM4は、それぞれのインターメタル誘電体
(IMD)層313AからCによって、隣接する金属層
から分離されている。隣接する金属層は、選択された開
口部でバイア314によって接続されている。金属層M
4の上は、プレーナパッシベーション層315が覆って
いる。
【0058】本発明の実施形態は、IMD層に関して特
に有用であるが、集積回路300に示された誘電体層の
各々にも用途を見い出すことができる。この集積回路3
00は単に説明のためのものであることを理解すべきで
ある。同業者であれば、マイクロプロセッサ、特定用途
向け集積回路、メモリ素子等といった他の集積回路の製
造のために本方法を実施できるであろう。本発明の方法
は、BiCMOS、NMOS、バイポーラその他といっ
た他のテクノロジーを使用する集積回路の製造に使うこ
とができる。更に本発明の方法は、0.25μm以下の
フィーチャサイズを有する素子を製造するために一般に
使用されるダマシン(金属象嵌)および二重ダマシンプ
ロセス手法によって使用可能である。
【0059】図3Bは、本発明の一実施の形態による窒
化されたFSG層とその関連構造を示す電子装置320
の一部の単純化された断面である。金属層322は、誘
電体層324上に形成されてパターニングされており、
この誘電体層は基板326によって支持されており、ま
た介在層あるいは材料(図示せず)を持つことも持たな
いこともある。第1の誘電体層は、シリコンガラスかド
ーピングされたシリコンガラスの層か、窒化物その他の
誘電体材料の層か、あるいは層および/または材料の組
合せであろう。FSG層328は、パターニングされた
金属層322と誘電体層324との上に形成されている
(図2、工程202)。化学的機械的研磨(図2、工程
204)は、FSG層328の比較的平らで滑らかな表
面330を作りだしており、その上に厚さ約2,000
Åのオプションのドーピングされていないシリコンガラ
ス(「USG」)層332が堆積されている。シリコン
ガラス層332もまた、一般にその下の層に順応するの
で比較的平らで滑らかであり、これは後続の層を製造す
るときに望ましいことである。
【0060】ドーピングのないシリコンガラス層を堆積
する前に、FSG層の化学的機械的に研磨された表面
は、選択された深さにまでFSG層内に窒素を混入する
ために十分な時間と温度で窒素含有プラズマにFSG層
の表面を暴露することによって窒化された(図2、工程
206)。この場合、選択された深さはほぼ、FSG層
を通るバイア深さ334であって、典型的には約5,0
00から9,000Åの間である。このバイアは、通常
のリソグラフィとエッチングの手法を使って形成でき、
また一般には実質的に、パターニングされた金属層を後
続の金属層(図示せず)に電気的に接続するために、チ
タン/窒化チタンアルミニウム、タングステン、および
/または銅といった導電性材料で充填される。この充填
材料は後続の金属層と同じでも異なっていてもよい。
【0061】窒化処理、FSG層内にチッ化されたFS
G領域336を形成する。同業者であれば、この窒化領
域全体に亘って、一般に窒化領域の表面で約0.40原
子%から窒化領域と非窒化領域(堆積されたままのFS
G)との間の境界面で約0.01原子%以下にまで減少
していくように、窒素濃度が変化し得るということと、
この境界面の位置は主として所望の窒素濃度の限度の定
義の問題であるということとを認めるであろう。 V. 窒化処理工程の順序 本発明によるFSG層の化学的機械的に研磨された表面
の窒化処理プロセスは、種々のプロセス条件を使用して
達成できる。これは、ユーザが材料(基板)や素子設計
に最も適したプロセス条件を選択することを可能にす
る。例えば一般に、製造設備への大きな投資と、製品の
競争力ある価格付けと、それら両者の要求する高い処理
量とのために、半導体素子の製造時にいかなるプロセス
でも最短時間内にプロセス結果を達成することが望まれ
ている。したがってある状況ではより高いプロセス温度
と、より短いプロセス時間とが選択される。本技術に精
通する人々は、中でも時間、温度、プラズマ窒素濃度と
いった数個のプロセス変数にしたがって最終結果が達成
されることを理解するであろう。同業者はまた、時間、
電力レベルおよび以下に述べる他のパラメータが特定の
基板処理システムに関係しているということと、これら
のプロセスパラメータは下記のプロセス、あるいは他の
類似のプロセスを実行するために他の処理システムに適
応するように修正し得るということとを理解するであろ
う。
【0062】しかしながら一般に、本発明が、5,00
0から9,000Åのバイアを形成すべき化学的機械的
に研磨されたFSG層に窒素を添加するために使用され
ると、窒化工程は、約40から70秒間、この層を窒素
プラズマに曝すことになるであろう。ウェハは、この時
間中、約380から400℃に加熱されるであろう。こ
のようなプロセス条件は、最低でバイア深さまでの間ず
っとFSG層内に窒素を混入するために使用される可能
性がある。選択された深さ(例えばバイア深さ)にまで
薄膜内に混入される窒素の量は、少なくとも1x1019
原子/cm3であることが好ましく、また少なくとも5
x1019原子/cm3と1x1020原子/cm3との間で
あることは更に好ましい。
【0063】本発明の窒化工程を更に説明するために、
単なる例として下記のプロセスを示す。この例では、窒
化すべき基板は、その基板上に化学的機械的に研磨され
たFSG層を形成した後に、セクションIIで述べたU
LTIMA(登録商標名)システムチャンバ内に入れら
れる。積載動作の時、ターボポンプがチャンバを排気
し、アルゴンが126sccmの流量でチャンバ内に流
れ込み、上部ノズルを通して16sccmで流れ出てい
る間中、絞り弁は全開になっている。積載が完了した
後、絞り弁を閉じて、チャンバ圧が50mTorrを超
えるまで上昇するのを10秒以下の間、待機することに
よって、プラズマを発生させるための適当な圧力が達成
され、その待機時間後に、1000Wのソース高周波電
力が上部コイルに1秒間、印加されてチャンバ内にプラ
ズマを発生させる。次に絞り弁が100工程の一定位置
にまで開いている間にソース電力の1000Wが側面コ
イルに印加され、これは約1秒ほどかかる。これで、窒
素源がチャンバ内に流される前に十分なソース電力を持
った安定なプラズマが確立される。
【0064】窒素ガス(N2)は、絞り弁が400工程
の位置にまで開いている間に30sccmの初期流量で
3秒間、プラズマに加えられる。以下に示すように、基
板がこれらのレベルのソース電力を受けるプラズマに曝
されているこの5秒間に基板の最小の加熱が行われる。
窒素が3秒間流れた後にアルゴンの流れが停止されて窒
素の流れが80sccmに増やされ、その間、高周波ソ
ース電力の2,000Wに加えて350Wの高周波バイ
アス電力が印加され、絞り弁は、50秒間、全開とな
る。基板が達成すべき所望の温度にしたがって多少とも
高周波バイアス電力が印加されることがある。
【0065】上記の工程と同様にこの窒化プロセス工程
の間、電子チャックはオフとなっていて背面のヘリウム
冷却ガスは、流れていない。プラズマは、基板を約40
0℃直下にまで加熱する。FSG層が堆積された温度よ
りも低くしておくことが望ましく、この温度はこの場
合、隣接材料とのFSG境界面での剥離を防止し、FS
G層内での他の好ましくない変化を避けるために約41
0℃から420℃の間にあることが望ましい。更に低い
温度に維持されることを必要とする温度に敏感な構造物
が基板上に存在するといった場合には、更に低い温度制
限が適切であるかもしれない。これに対して、FSG薄
膜が420℃を超える高温で堆積されたような場合、も
し素子歩留りとか信頼性の点で許容できない結果になら
なければ、より高い温度と、したがってより短いプロセ
ス時間とを採用することができる。同様に、窒化された
FSGの所望の深さによっては、異なる時間と温度とが
採用されることもある。
【0066】この例では窒化処理は、基板を迅速に加熱
してスループット時間を短縮するために、背面ヘリウム
冷却なしで行われる。したがって基板の温度対時間の関
係は、テストウェハを使って特性化されて検証されてい
る。この場合、基板は、1.5オーム−cmのバルク抵
抗率を有する8”シリコンウェハである。シリコンウェ
ハの加熱速度は、ウェハのバルク抵抗率に依存する。一
般に、低いバルク抵抗率(高い電気伝導率)を有するウ
ェハほど、高い熱伝導率を有し、プラズマで表面領域を
加熱するのに、それだけ長時間かかる。
【0067】図4は、同一の時間と条件下で窒素プラズ
マに曝されたシリコンウェハの窒素処理工程の終了時の
ウェハ温度対バルク抵抗率の関係を示す。図4は、抵抗
率が約0.01オーム−cmから1.00オーム−cm
まで変化するにつれてウェハ温度が約60℃上昇するこ
とを示している。
【0068】ウェハをウェハ支持具にチャック固定する
ことによってウェハの背面を放熱することは、ウェハか
ら熱を逃がして所定の電力レベルでの加熱プロセスを更
に遅らせることになろう。ウェハの背面とウェハ支持具
との間にヘリウムガスといった冷却剤を流すことは、ウ
ェハからの熱伝導を向上させて加熱プロセスを遅くする
であろうが、しかしながら、より低いプロセス温度が欲
しいとき、および/またはプロセスのスループットが重
要でないとき、または変化する抵抗率を有する一連のウ
ェハのための標準的な窒化処理が欲しいとき、および/
または温度制御されたフィードバックシステムが使われ
ているとき等といった状況下では、ウェハをチャック固
定して冷却剤を流すことが適切であることもある。
【0069】上記の窒化処理の結果、約390℃のウェ
ハ表面温度と約3mTorrのチャンバ圧とが得られ
る。得られた窒化FSG領域はFSG層の表面近くで約
0.40原子%の窒素濃度を持っており、FSG層内に
約8,000Åまで拡散している。約0.40原子%
は、この温度におけるこのタイプの材料内への窒素の溶
解度の限界と信じられている。同様のウェハに対する同
様のプロセスは、約5,000から9,000Åの間の
窒化深さを作り出している。本技術に精通する人々は、
溶解度限界がFSG層の組成、構造、温度を含む多くの
変数によって影響され得ることと、FSG層内への窒素
拡散の範囲が少なくとも、FSG層の表面近傍の窒素濃
度とFSG層が窒素に曝されている時の時間温度積との
関数であることとを認めるであろう。
【0070】前述のように、窒素が少なくともバイア深
さにまで拡散するように、多くの変数の中でも温度と圧
力とガス流量と時間とを含むプロセス条件を選択するこ
とは、非常に望ましいことである。窒化処理は、バイア
領域でのHFの形成を抑制し、その深さまでの薄膜の安
定性を向上させる。他の実施の形態では、バイア深さで
のより高い窒素濃度を確保するために、窒素は少なくと
もバイア深さより深い一定距離あるいはパーセント距離
だけ浸透することが好ましい。本発明者らは、浸透がバ
イア深さより低い場合、窒素はFSG層の下の導電性の
フィーチャに悪影響を与えないと判定した。
【0071】窒化されたFSGは、約3.5という誘電
率を持っており、これはFSGの誘電率に匹敵する。窒
化処理はまた、FSG薄膜の圧縮応力を2倍だけ増加さ
せる。例えば圧縮応力は、窒化処理前のFSG薄膜内の
約6.0x108ダイン/cm2から窒化処理後の約1.
2x109ダイン/cm2に増加した。この増加した圧縮
応力は、一般に引張り応力を持っていて、窒化されたF
SGに囲まれ、あるいは部分的に囲まれているパターニ
ングされた金属層のクラッキング(ひび割れ)を減らす
という点で有用である。増加した圧縮応力は、特に約
0.25ミクロン未満の形状構造を有する多金属層の電
子装置(素子)の「より高い」金属層には特に望まし
い。
【0072】化学的機械的に研磨されたFSG薄膜を窒
化した後に、所望であれば、その窒化FSGの上にキャ
ップ層、バリア層、接着層、その他の層が形成されるこ
とがあり、あるいは基板は、その他の処理を施されるこ
ともある。キャップ層は、例えばドーピングされていな
いシリコンガラスの比較的薄い(例えば厚さ2,000
Åの)層である。
【0073】VI. テスト結果 図5は、セクションVで前に説明したULTIMA(登
録商標名)チャンバHDPにおける本発明の一実施の形
態によって製造された1,000枚のウェハついての粒
子数を示すチャートである。平均粒子密度は、0.2ミ
クロンより大きな粒子について約11である。その代替
の窒素プラズマ処理が評価された。特に、高周波バイア
ス電力を持たない、すなわち高周波ソース電力だけによ
って付勢された窒素プラズマが評価された。高周波ソー
ス電力のみ(高周波バイアス電力なし)のプロセスで
は、2,500Wの電力が上部コイルに供給された一
方、3,500Wの電力が側面コイルに供給された。酸
化シリコンの層でシーズニングされたチャンバ内では、
高周波ソース電力のみの窒化処理によって添加された
0.2ミクロンを超える粒子の数は、25から7,00
0にまで広がっており、平均添加粒子数は約3,500
であった。実験を通して、ソース電力のみの窒化処理の
間に添加された粒子の数は、シーズニング層の厚さとか
シーズニング層の組成(例えばFSG対USG)とか、
あるいはチャンバをシーズニングした後に処理されたウ
ェハの数とかを含む多数のパラメータに余り敏感ではな
いと判定された。しかしながら、添加された粒子の数
は、プラズマに印加される高周波ソース電力には敏感で
あると判定された。
【0074】図6Aは、上部コイルに供給される2,5
00Wと側面コイルに供給される3,500Wとの高周
波ソース電力によって付勢された窒素含有プラズマに曝
された基板に関するウェハ温度対時間の関係を示すグラ
フである。図6Bは、上部コイルに供給される1,00
0Wと側面コイルに供給される1,000Wとの高周波
ソース電力と、400Wの高周波バイアス電力とによっ
て付勢された窒素含有プラズマに曝された、同様の条件
下の基板に関するウェハ温度対時間の関係を示すグラフ
である。加熱カーブは似ており、化学的機械的に研磨さ
れたFSG薄膜の窒化は両プロセスによって達成され
た。したがってウェハを加熱するために高周波ソース電
力と共に高周波バイアス電力を使用することは、ウェハ
加熱の効率的な方法であり、過度の粒子汚染を発生させ
ないと判定された。
【0075】高周波バイアス電力を使わない加熱は、よ
り単純なプロセスであり、したがって、できればソース
電力のみのプロセスが望ましい。しかしながら約400
℃の温度に基板を加熱するために十分に高いソース電力
によって付勢されるプラズマは、シーズニング被膜(こ
の場合は、基板の汚染を最小にするために処理チャンバ
の内部を被覆するために与えられる酸化シリコンの層)
をスパッタリングする傾向がある。基板を加熱するため
に高周波バイアス電力を使用することの代替として、ウ
ェハ支持台内のヒータといった他の加熱源を使用して、
本質的に同じ温度を達成することができ、あるいはより
低い温度(より長い時間)とより低い窒素濃度が許容さ
れる場合には中間的なソース電力が使用できる。同様
に、選択された基板温度を達成するために高周波ソース
電力の適当な調整によって、中間的な高周波電力(10
0から400Wの間)が使用できる。
【0076】図7Aは、Ultima HDPチャンバ
内で堆積された堆積されたままのFSG層のSIMSス
ペクトルである。このグラフは、FSG層内の窒素のバ
ックグラウンド濃度レベルが約1x1018原子/cm3
であり得ることを示している。これと比較して図7B
は、上部コイルに対して2,500W、側面コイルに対
して3,500Wの高周波ソース電力により窒素ベース
のプラズマ内で60秒間窒化した後のFSG層のSIM
Sスペクトルである。このデータは、窒素濃度がバック
グラウンドレベルにまで急速に減少する前に、FSGが
窒素を約0.9ミクロンの深さにまで混入させているこ
とを示している。図7Cは、高周波バイアス電力なし
で、上部コイルに印加される1,000Wと側面コイル
に印加される1,000Wの高周波ソース電力による窒
素ベースのプラズマ内で60秒間、窒化した後のFSG
層のSIMSスペクトルである。横軸のスケールが異な
っていることと、窒素は表面から約0.1ミクロンの深
さまでしかFSG層内に混入されていないこととに留意
すべきである。図7Dは、高周波バイアス電力なしで、
上部コイルに印加される1,000Wと側面コイルに印
加される1,000Wの高周波ソース電力による窒素ベ
ースのプラズマ内で120秒間窒化した後のFSG層の
SIMSスペクトルである。この暴露時間でFSGは、
窒素を表面から約0.15ミクロンの深さに混入させ
た。図7Eは、上部コイルに印加される1,000Wと
側面コイルに印加される1,000Wの高周波ソース電
力と、400Wの高周波バイアス電力とによる窒素ベー
スのプラズマ内で60秒間、窒化した後のFSG層のS
IMSスペクトルである。図7Eと図7Bとを比較する
と、バイアス高周波電力はウェハ温度とFSG層内への
窒素の拡散とを増加させる効率的な方法であることが分
かる。
【0077】本発明の方法は、前述の特定のパラメータ
によって限定されることを意図していない。同業者であ
れば、本発明の精神から逸脱せずに異なるプロセス条件
と、異なる反応体源とが使用可能であることを理解する
であろう。例えばプラズマは、N2O、アンモニア、そ
の他の窒素源から発生できるであろうし、また窒素源に
加えてヘリウムや水素といった他の元素をプラズマに加
えることもできるであろう。同業者であれば、このよう
な添加物は、許容可能なプロセスパラメータにしたがっ
てプラズマの加熱特性やスパッタリング特性を変える可
能性があることを理解するであろう。また本出願は主と
して、CMP処理後のFSG層の窒化について論じた
が、これは、他のハロゲンドープされたシリコンガラス
をCMP後に窒化するためにも使用できる。
【0078】更に前述の実施の形態はUltima H
DP−CVDシステムを使用しているが、ハロゲン化さ
れたシリコンガラス表面に窒素種を与えるために、平行
平板型プラズマシステム、ECRプラズマシステム、あ
るいは遠隔プラズマシステムといった他のプラズマシス
テムを使用することも可能である。同様にウェハを所望
の温度に加熱するために、赤外線ランプまたは抵抗加熱
台でウェハを加熱するといった他の加熱方法も使用でき
る。加熱方法は、アクティブな温度制御機能を含んでも
よいであろう。研磨された面に窒素を注入するといっ
た、本発明によるハロゲン化された化学的機械的に研磨
された表面を窒化する他の同等な、または代替の方法
は、同業者にとって明らかであろう。これらの同等また
代替の方法は、本発明の範囲内に含まれると意図されて
いる。他の変形体も、同業者には明らかであろう。した
がって付属のクレームに記載の事項を除いて本発明を限
定することは意図されていない。
【図面の簡単な説明】
【図1A】本発明の方法を実施するために使用できる例
示的な高密度化学気相堆積(CVD)システムの単純化
された概略図である。
【図1B】図1Aの例示的なCVDプロセスチャンバと
共に使われるガスリングの単純化された断面図である。
【図1C】図1Aの例示的なCVDプロセスチャンバと
共に使われるモニタとライトペンの単純化された概略図
である。
【図1D】図1Aの例示的なCVDプロセスチャンバを
制御するために使われる例示的プロセス制御コンピュー
タプログラム製品の流れ図である。
【図1E】本発明の幾つかの実施の形態でハロゲンドー
プされた層を平滑化するために使用できる例示的な化学
的機械的研磨チャンバの単純化された概略図である。
【図1F】本発明の方法を実施するために使用できる例
示的なクラスタツール基板処理システムの単純化された
概略図である。
【図2】本発明の一実施の形態による集積回路素子を製
造するためのプロセスを表す単純化された流れ図であ
る。
【図3A】本発明の一実施の形態によって製造された集
積回路の一部の単純化された断面図である。
【図3B】図3Aに示す集積回路のその部位の一部の単
純化された断面図である。
【図4】本発明の一実施の形態による窒素処理工程の終
了時における、約1分間、標準のプラズマ状態に曝され
たシリコンウェハのウェハ温度対バルク抵抗率の関係を
表す線図である。
【図5】本発明の方法の一実施の形態にしたがって製造
された1000枚のウェハに関する粒子数を示す線図で
ある。
【図6A】比較的高いソース高周波電力によって付勢さ
れたプラズマ内に置かれたウェハに関するウェハ温度対
時間の関係を示す線図である。
【図6B】比較的高いソース高周波電力とバイアス高周
波電力とによって付勢されたプラズマ内に置かれたウェ
ハに関するウェハ温度対時間の関係を示す線図である。
【図7A】高周波バイアス電力なしで比較的低い選択さ
れた高周波ソース電力によって付勢された窒素プラズマ
内で処理された後の堆積FSG薄膜の、選択された分離
原子状元素の近似的濃度対表面からの深さの関係を表す
線図である。
【図7B】高周波バイアス電力なしで比較的高い高周波
ソース電力によって付勢された窒素プラズマ内で1分間
処理された後のFSG薄膜の、窒素濃度対表面からの深
さの関係を表す線図である。
【図7C】高周波バイアス電力なしで比較的低い高周波
ソース電力によって付勢された窒素プラズマ内で1分間
処理された後のFSG薄膜の、窒素濃度対表面からの深
さの関係を表す線図である。
【図7D】高周波バイアス電力なしで比較的低い高周波
ソース電力によって付勢された窒素プラズマ内で2分間
処理された後のFSG薄膜の、窒素濃度対表面からの深
さの関係を表す線図である。
【図7E】 比較的低い高周波ソース電力と高周波バイ
アス電力とによって付勢された窒素プラズマ内で1分間
処理された後のFSG薄膜の、窒素濃度対表面からの深
さの関係を表す線図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヒチェム ムサッド アメリカ合衆国, カリフォルニア州, サンタ クララ, グラナダ アヴェニュ ー 3500 ナンバー364 (72)発明者 デレック アール. ウィッティ アメリカ合衆国, カリフォルニア州, フリーモント, スターファイアー サー クル 5587 (72)発明者 マノジュ ヴェライカル アメリカ合衆国, カリフォルニア州, サンタ クララ, ポインシアナ ドライ ヴ 3707 ナンバー68 (72)発明者 リン ツァン アメリカ合衆国, カリフォルニア州, サン ノゼ, ケミ ドゥ リヴィエール 3559 (72)発明者 ヤシン ワン アメリカ合衆国, カリフォルニア州, フリーモント, ジョイス アヴェニュー 41569

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 基板上に誘電体層を形成する方法であっ
    て、 (a)前記基板上にハロゲンドープされたシリコンガラ
    ス層を堆積する工程と、 (b)研磨面を形成するために前記ハロゲンドープされ
    たシリコンガラス層を研磨する工程と、 (c)前記研磨面を窒化する工程とを含む、基板上に誘
    電体層を形成する方法。
  2. 【請求項2】 前記ハロゲンドープされたシリコンガラ
    ス層は、フッ素ドープされたシリコンガラス層を含む、
    請求項1に記載の方法。
  3. 【請求項3】 前記ハロゲンドープされたシリコンガラ
    ス層は、化学的機械的研磨手法を使用して研磨される、
    請求項1に記載の方法。
  4. 【請求項4】 前記窒化する工程は、前記ハロゲンドー
    プされたシリコンガラスに少なくとも2000Åだけ少
    なくとも1x1020原子/cm3の窒素濃度を形成する
    ことを含む、請求項1に記載の方法。
  5. 【請求項5】 前記ハロゲンドープされたシリコンガラ
    ス層は、インターメタル(合金)誘電体層として堆積さ
    れる、請求項1に記載の方法。
  6. 【請求項6】 前記ハロゲンドープされたシリコンガラ
    ス層の研磨面を窒化した後に、下層のフィーチャとのバ
    イア接続を形成するために前記層にパターニングとエッ
    チングを行う工程を更に含む、請求項5に記載の方法。
  7. 【請求項7】 前記バイアは、ある深さを持っており、
    前記窒化する工程は、少なくとも1x1020原子/cm
    3の窒素濃度を少なくとも前記バイアの深さにまで形成
    することを含む、請求項6に記載の方法。
  8. 【請求項8】 前記フッ素ドープされたシリコンガラス
    層の少なくとも初めの部分は、高周波ソース電極と高周
    波バイアス電極とを有する高密度プラズマ化学気相堆積
    (CVD)チャンバ内でソース高周波電力だけを使用し
    て堆積される、請求項2に記載の方法。
  9. 【請求項9】 前記窒化する工程は、前記基板を窒素含
    有プラズマに暴露することによって当該基板を加熱する
    ことを含む、請求項1に記載の方法。
  10. 【請求項10】 前記窒素含有プラズマは、高密度プラ
    ズマ処理チャンバ内でソース高周波電力のみから形成さ
    れる、請求項9に記載の方法。
  11. 【請求項11】 前記窒素含有プラズマは、高密度プラ
    ズマ処理チャンバ内で、ソース高周波電力とバイアス高
    周波電力との両者から形成される、請求項9に記載の方
    法。
  12. 【請求項12】 前記窒素含有プラズマは、窒素ガスか
    ら形成される、請求項9に記載の方法。
  13. 【請求項13】 基板上に誘電体層を形成する方法であ
    って、 (a)前記基板上にフッ素ドープされたシリコンガラス
    (「FSG」)層を堆積する工程と、 (b)研磨面をを形成するために化学的機械的研磨手法
    を使用して前記FSG層を研磨する工程と、 (c)少なくとも窒素ガスから形成されたプラズマに前
    記研磨面を暴露することによって、当該研磨面から少な
    くとも5,000Åの深さにまで、少なくとも約5x1
    19原子/cm3の窒素濃度を前記FSG層内に形成す
    るために前記研磨面を窒化する工程とを含む方法。
  14. 【請求項14】 前記プラズマは、基本的に分子状窒素
    からなるガスから形成される、請求項13に記載の方
    法。
  15. 【請求項15】 前記プラズマは、基本的に分子状窒素
    からなるガスから形成される、請求項9に記載の方法。
  16. 【請求項16】 フッ素ドープされたシリコンガラス
    (FSG)の層内の圧縮応力を増加させる方法であっ
    て、 (a)前記基板上にFSG薄膜を堆積する工程と、 (b)少なくとも約0.5ミクロンの選択された深さに
    まで少なくとも1020原子/cm3の濃度の窒素を前記
    FSG薄膜内に混入するために十分な時間と温度とで、
    窒素ソースガスから形成されたプラズマに前記FSG薄
    膜を暴露することによって、前記FSG薄膜を窒化する
    工程とを含む方法。
  17. 【請求項17】 前記FSG薄膜は、窒化する工程
    (b)の前の初期圧縮応力と窒化する工程(b)の後の
    後期圧縮応力とを持っており、前記初期圧縮応力は前記
    後期圧縮応力の約半分である、請求項16に記載の方
    法。
  18. 【請求項18】 前記工程(a)と前記工程(b)との
    間に前記FSG薄膜を研磨する工程を更に含む、請求項
    16に記載の方法。
  19. 【請求項19】 前記基板は、半導体ウェハと、パター
    ニングされた金属層の直ぐ上に堆積された前記FSG薄
    膜との間に配置されたそのパターニングされた金属層を
    含んでいる、請求項16に記載の方法。
  20. 【請求項20】 基板上にフッ素処理されたシリコンガ
    ラスを窒化する基板処理装置であって、 (a)プラズマ処理チャンバと、 (b)窒素含有ソースガスを前記プラズマ処理チャンバ
    に供給するように構成されたガス供給システムと、 (c)前記処理チャンバ内でプラズマを形成するように
    構成された高周波プラズマシステムと、 (d)CMP処理チャンバと、 (e)前記CMPチャンバと前記プラズマCVDチャン
    バとの間で基板を移動させるように構成された基板移動
    システムと、 (f)前記ガス供給システムと前記高周波プラズマシス
    テムと前記基板移動システムとを制御するように構成さ
    れたコントローラと、 (g)前記コントローラに接続されていて、前記基板処
    理装置の動作を指示するための、メモリ内に具現された
    コンピュータ読取り可能プログラムを有する、コンピュ
    ータ読取り可能媒体からなるメモリとを含んでおり、且
    つ前記コンピュータ読取り可能プログラムは、 (i)基板上に形成された研磨済みハロゲンドープシリ
    コンガラス層を有する該基板を前記CMPチャンバから
    前記プラズマ処理チャンバに移動させるように前記基板
    移動システムを制御するためのコンピュータ命令と、 (ii)窒素含有ソースガスを前記プラズマ処理チャン
    バ内に流し込むように前記ガス供給システムを制御する
    ためのコンピュータ命令と、 (iii)前記研磨済みハロゲンドープシリコンガラス
    層を窒化するために前記窒素含有ソースガスからプラズ
    マを形成するように前記プラズマシステムを制御するた
    めのコンピュータ命令とを含む基板処理装置。
JP2000188190A 1999-06-22 2000-06-22 研磨されたハロゲンドープシリコンガラスの窒素処理 Expired - Fee Related JP4575552B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/337,983 US6413871B2 (en) 1999-06-22 1999-06-22 Nitrogen treatment of polished halogen-doped silicon glass
US09/337983 1999-06-22

Publications (2)

Publication Number Publication Date
JP2001135635A true JP2001135635A (ja) 2001-05-18
JP4575552B2 JP4575552B2 (ja) 2010-11-04

Family

ID=23322893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000188190A Expired - Fee Related JP4575552B2 (ja) 1999-06-22 2000-06-22 研磨されたハロゲンドープシリコンガラスの窒素処理

Country Status (4)

Country Link
US (1) US6413871B2 (ja)
JP (1) JP4575552B2 (ja)
KR (1) KR100696037B1 (ja)
TW (1) TWI238151B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005705A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd 処理ガス供給構造およびプラズマ処理装置
JP2015510260A (ja) * 2012-01-13 2015-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を処理する方法および装置

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000040679A (ja) * 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6815007B1 (en) * 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6774012B1 (en) * 2002-11-08 2004-08-10 Cypress Semiconductor Corp. Furnace system and method for selectively oxidizing a sidewall surface of a gate conductor by oxidizing a silicon sidewall in lieu of a refractory metal sidewall
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100953016B1 (ko) * 2008-01-22 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
DE102016101197A1 (de) * 2016-01-25 2017-07-27 Hella Kgaa Hueck & Co. Verfahren zum Oberflächenbeschichten eines Bauteils unter Vakuum und Vakuumbeschichtungsanlage hierzu
EP3762343A1 (en) * 2018-03-05 2021-01-13 AGC Glass Europe Anti-glare glass sheet
GB201906840D0 (en) * 2019-05-15 2019-06-26 Spts Technologies Ltd Method of deposition
CN114724945A (zh) * 2022-05-18 2022-07-08 北京屹唐半导体科技股份有限公司 等离子体氮化掺杂方法和装置及半导体器件

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005705A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd 処理ガス供給構造およびプラズマ処理装置
JP4597792B2 (ja) * 2005-06-27 2010-12-15 東京エレクトロン株式会社 処理ガス供給構造およびプラズマ処理装置
JP2015510260A (ja) * 2012-01-13 2015-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を処理する方法および装置

Also Published As

Publication number Publication date
KR100696037B1 (ko) 2007-03-15
KR20010049597A (ko) 2001-06-15
TWI238151B (en) 2005-08-21
US6413871B2 (en) 2002-07-02
JP4575552B2 (ja) 2010-11-04
US20010033900A1 (en) 2001-10-25

Similar Documents

Publication Publication Date Title
JP4575552B2 (ja) 研磨されたハロゲンドープシリコンガラスの窒素処理
JP4237845B2 (ja) 最適なkのhdp−cvd処理のためのレシピステップのシーケンス化
KR100579753B1 (ko) 금속 표면 상의 불소 함유 유전체 접착을 강화시키는 방법
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US5763010A (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
KR100579756B1 (ko) 금속에의 유전체 접합을 개선시키기 위한 방법
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
US6228781B1 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits
US20040161536A1 (en) Method for depositing a low-k material having a controlled thickness range
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100705

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100810

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100820

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees