KR20010043541A - 컨포멀 층을 형성하기 위한 다단계 방법 - Google Patents

컨포멀 층을 형성하기 위한 다단계 방법 Download PDF

Info

Publication number
KR20010043541A
KR20010043541A KR1020007012652A KR20007012652A KR20010043541A KR 20010043541 A KR20010043541 A KR 20010043541A KR 1020007012652 A KR1020007012652 A KR 1020007012652A KR 20007012652 A KR20007012652 A KR 20007012652A KR 20010043541 A KR20010043541 A KR 20010043541A
Authority
KR
South Korea
Prior art keywords
tungsten nitride
forming
depositing
layer
pecvd
Prior art date
Application number
KR1020007012652A
Other languages
English (en)
Other versions
KR100422417B1 (ko
Inventor
웨이민 리
거티 샌두
Original Assignee
마이크론 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지 인코포레이티드 filed Critical 마이크론 테크놀로지 인코포레이티드
Publication of KR20010043541A publication Critical patent/KR20010043541A/ko
Application granted granted Critical
Publication of KR100422417B1 publication Critical patent/KR100422417B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

2단계 형성 프로세스가 고 종횡비 접촉 라이너 또는 저장 셀 캐패시터 전극 응용과 같은 다양한 응용 범위에 대해서 개구부의 밑면과 옆면 모두에서 컨포멀 범위를 제공하고, 그러한 범위를 필요로 하는 어떠한 피처들 상에도 컨포멀 커버리지를 제공한다. 집적 회로의 제조에 있어서 컨포멀층의 형성에는 적어도 일반적으로 수평인 제1 표면과 그로부터 확장된 제2표면을 포함하는 기판 어셈블리를 제공하는 것이 포함된다. 상기 층의 제1 부분은 제1 시간 주기 동안에 수평인 제1 표면 상에 선택적으로 형성되고, 상기 층의 제2부분은 제2 시간 주기 동안에 상기 제2표면 상에 선택적으로 디포지션된다. 또한, 집적 회로의 제조에 있어서 텅스텐 질화물을 형성하기 위한 한 도해적인 프로세스에는 텅스텐 질화물을 제1 시간 주기동안 수평인 제1 표면 상에 형성하는 것과, 텅스텐 질화물을 제2 시간 주기 동안에 PECVD(plasma enhanced chemical vapor deposition)에 의해 제2표면 상에 디포지션하는 것을 포함한다. 상기 텅스텐 질화물은 PECVD법에 의하여 WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 반응 가스 혼합물을 사용하여 제1 표면 상에 형성되고, 상기 텅스텐 질화물은 PECVD법에 의해 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응 가스 혼합물을 사용하여 디포지션된다.

Description

컨포멀 층을 형성하기 위한 다단계 방법{MULTIPLE STEP METHODS FOR FORMING CONFORMAL LAYERS}
집적 회로의 설계에 있어서, 도전층이나 절연층과 같은 다양한 층들이 사용된다. 예를 들어, DRAM(dynamic random access memories), SRAM(static random access memories), 마이크로 프로세서 등과 같은 반도체 장치가 형성되는 동안, 도핑된 다결정 실리콘, 도핑된 실리콘, 알루미늄, 내화성 금속 규화물 등등과 같은 도전 층을 전기적으로 분리하기 위해 절연층이 사용된다. 상기 도전층들이 상기 절연층의 홀이나 개구부(opening)를 통해 상호 연결되어야 할 경우가 있다. 그러한 홀들은, 상기 홀이 절연층을 통해서 능동 장치 영역까지 확장되는 경우, 또는 상기 홀이 두개의 도전층 사이에서 절연층을 통하여 확장되는 경우에 흔히 접촉 홀이라 불리운다.
접촉 홀 또는 비아(via)에 도전 물질이 제공되거나 도전물질로 채워지는 경우에, 특정 성질들은 얻을 수 있도록 하기 위해 개구부의 프로파일은 매우 중요하다. 예를 들어, 많은 수의 홀은 고 종횡비 홀 또는 개구부이다. 많은 경우에, 개구부이 종횡비가 높으면 상기 개구부 내에서 어떤 물질을 형성하기가 어렵다. 예를 들어, 개구부를 이루고 있는 밑면과 옆면 상에 텅스텐 질화물을 형성하는데 있어서, 통상적인 텅스텐 질화물 형성 기술을 사용하면 불량 스텝 커버리지를 낳게 된다.
텅스텐 질화물은 바람직하게는 반도체 장치의 제조에 있어서 한 재료가 인접한 재료로 확산되는 것을 방지하기 위한 배리어 형성 재료로서 사용된다. 예를 들어, 알루미늄이 실리콘 표면과 접촉할 경우에는, 스파이킹(spiking)이 발생할 수 있으며, 알루미늄이 텅스텐과 직접적으로 접촉하게 될 경우에는 매우 저항이 많은 합금이 형성된다. 또한, 예컨대 그러한 재료가 직접 접촉하고 있을 때에는 실리콘에서의 구리 확산이 발생한다. 확산 배리어, 예컨대 텅스텐 질화물 배리어들이 흔히 그러한 바람직하지 못한 반응을 막기 위해 사용된다. 텅스텐 질화물은 낮은 저항성을 가지기 때문에 확산 배리어로 이용되고 따라서 고속 이용분야에서 도전성 인터페이스로 적합하다. 또한, 텅스텐 질화물은 열적으로 안정되어 있기 때문에, 집적 회로 제조 기술에서 공통적인 고온 프로세싱에 더 적합하다.
도전 재료들은 반도체 장치, 예컨대 DRAM 같은 반도체 장치에서의 사용을 위한 저장 셀 캐패시터를 만드는 데에도 사용된다. 저장 용량과 크기는 저장 셀의 중요한 특성이다. 저장 용량을 유지하면서 그 크기를 줄이는 한 가지 방법은 상기 저장 셀 캐패시터의 유전층의 유전 상수를 증가시키는 것이다. 그러므로, 유전 상수가 큰 물질들이 두 개의 전극 사이에 삽입되어 사용될 수 있다. 다양한 도전 재료를 가진 하나 이상의 층이 전극 재료로서 사용된다. 그러나, 일반적으로는, 하나 이상의 도전 재료 층은 특히 고 유전 상수의 재료 형성에 사용되는 프로세스 때문에 확실한 배리어 성질과 내 산화성을 가져야만 한다. 텅스텐 질화물은 위에 설명된 바와 같이 산화를 막고 매우 우수한 배리어 성질을 제공하는 재료이다. 그러한 것으로서, 텅스텐 질화물은 저장 셀 캐패시터를 위한 전극 재료로 사용될 수 있는 잇점이 있다.
그러나, 많은 저장 셀 캐패시터는 고 종횡비 개구부를 포함하는 프로세스에 의해 형성된다. 예를 들어, U.S. Patent No. 5,392,189 to Fazan 등, entitled "Capacitor compatible with High Dielectric Constant Materials Having Two Independent Insulative Layers and the Method for Forming Same," issued February 21,1995에서, 저장 셀 캐패시터가 소개되는데, 여기의 전극들은, 밑면과 옆면을 갖는 고 종횡비의 개구부 내에 도전 재료로 만들어 진다. 이전에 설명되었던 바와 같이, 통상적인 텅스텐 질화물 형성 프로세스는 일반적으로 스텝 커버리지가 형편없었고, 그러므로 저장 셀 캐패시터의 전극을 위한 고 종횡비 개구부에서 텅스텐 질화물을 형성하기 위해서는 통상적인 방법으로는 불충분했다. 예를 들어, 통상적인 PECVD 프로세싱에서, 텅스텐 질화물은 고 종횡비 개구부를 이루도록 옆면보다 밑면에 더 두꺼운 두께로 디포지션(deposition)된다.
텅스텐 질화물을 형성하기 위한 다양한 방법들이 알려져 있다. 그러나 그러한 방법들은 다양한 응용을 위한 충분한 컨포멀 커버리지를 제공하지 않는다. 특히,예컨대 텅스텐 질화물이 형성 되는 경우에, 상기와 같은 컨포멀 커버리지는, 예컨대 접촉 개구부 및 비아 개구부, 저장 셀 캐패시터 구조 등과 같이 고 종횡비 개구부에 비하여 부족하다.
예컨대, 텅스텐 질화물을 형성하는 한 방법에는 CVD(CVD;chemical vapor deposition)법이 있다. 일반적으로, 예를 들어 통상적인 CVD 프로세스는 WNX와 HF를 형성하도록 고온에서 WF6,N2및 H2를 반응시킨다. 이 프로세스에 부수되는 문제들에는 상기 텅스텐 질화물이 형성되는 반도체 웨이퍼의 노광된 표면을 공격하는 플루오르의 해로운 경향 및 일반적으로 고온과 관련된 문제들이 포함된다.
텅스텐 질화물을 형성하는 또다른 방법은 PVD(PVD;physical vapor deposition)법에 의하는 것이다. 통상적인 PVD 기술은 아르곤 캐리어 가스를 갖는 질소 가스 대기 내의 텅스텐 타깃으로부터 반응 스퍼터링을 포함한다. 통상적인 PVD 프로세스는 고 종횡비 개구부를 이루는 밑면 상에 디포지션된 막을 만들어 내게 된다. 그러나, 텅스텐 질화물을 그러한 개구부들의 옆면 상에 형성하는데는 불충분하다.
또한, U.S. Patent No. 5,487,923 to Min 등, entitled "Method for Depositing Tungsten Nitride Thin Film for Formation for Metal Wirings of Silicon Semiconductor Elements," issued January 30,1996 에는 텅스텐 질화물의 형성을 위한 PECVD(plasma enhanced chemical vapor deposition)프로세스가 설명되어 있다. 여기서의 설명과 같이, 상기 텅스텐 질화물 박막의 디포지션은 WF6, H2및 NH3반응 가스 혼합물을 사용하여 수행된다. PECVD 프로세스를 위한 다양한 파라미터들이 설명된다. 그러나 그러한 PECVD 프로세스는 소형의 고 종횡비 개구부에서 충분히 컨포멀이며 균일한 커버리지를 제공하지 않는다. 또한, WNXNHY같은 부산물들은 NH3를 포함하는 반응을 하는 사이에 형성된다. 그러한 부산물들은 고체이고 입자 문제들을 일으킨다.
또한, 다양한 다른 층들 예컨대 이산화 규소나 실리콘 질화물같은 절연층들은 다양한 환경에서 캐패시터 구조같은 컨포멀 커버리지를 필요로 하는 스텝을 갖는 피처들 상에 디포지션 된다. 그러한 층들을 디포지션하기 위한 통상적인 다양한 방법들은 그러한 환경에서의 그러한 피처들에 대해 충분히 컨포멀이고 균일한 커버리지를 제공하지 않는다. 예를 들어, 계단화된 피처들 상에 실리콘 질화물을 디포지션하기 위한 통상적인 CVD방법에서, 실리콘 질화물은 상기 CVD 프로세스의 파라미터에 따라, 측벽들이 확장되어 나오게 되는 아랫 면 보다 상기 옆면 상에 더 많이 디포지션된다.
본 발명은 반도체 장치의 제조에 있어서 예컨대 텅스텐 질화물 층과 같은 층의 형성에 관련된 것이다. 특히, 본 발명은 피처(feature) 상의 컨포멀 커버리지(conformal coverage)를 달성하도록 상기와 같은 층의 형성에 관한 것이다.
도 1A-1D는 본 발명에 따른 2단계 형성 프로세스, 즉 2단계 텅스텐 질화물 형성 프로세스를 개략적으로 보여준다.
도 2A-2D는 저장 셀 캐패시터 응용에서 본 발명에 따른 방법의 사용을 보여준다.
도 3A-3D는 접촉 응용에서 본 발명에 따른 방법의 사용을 보여준다.
위에 설명된 문제들과 아래의 상세한 설명으로부터 명확해질 다른 문제들을 해결하기 위해, 다양한 이용 분야 예컨대, 고 종횡비 접촉 라이너들 또는 저장 셀 캐패시터 전극을 위한 개구부의 밑면과 하나 이상의 측벽에 컨포멀 커버리지를 제공하거나 또는 그러한 커버리지 예컨대 캐패시터의 상부 전극과 같은 상기와 같은커버리지를 필요로 하는 피처상에 컨포멀 커버리지를 제공하기 위한 2단계 형성 프로세스가 설명된다. 상기 2단계 프로세스는 그러한 다양한 이용 분야에서 컨포멀 스텝 커버리지를 제공한다. 본 발명에 따른 집적 회로의 제조에 있어서, 컨포멀 층을 형성하기 위한 방법에는 적어도 일반적으로 수평인 제1 표면과 그로부터 확장된 제2표면을 포함하는 기판 어셈블리를 제공하는 단계가 포함된다. 상기 층의 제1 부분은 제1 시간 주기 동안에 상기 수평인 제1 표면 상에 선택적으로 형성되고, 상기 층의 제2 부분은 제2 시간 주기 동안에 제2 표면 상에 선택적으로 디포지션된다.
상기 방법의 다양한 실시예에서, 상기 층은 절연층 또는 도전층일 수 있고, 개구부는 적어도 부분적으로는 제1 및 제2 표면에 의해 형성되며, 여기서 상기 개구부는 약 1 이상의 종횡비와 약 1 마이크론 이하의 임계 치수을 갖는 소형의 고 종횡비 개구부이다. 제2표면 상으로의 상기 층의 제2부분의 선택적인 디포지션에는, 반응 가스 혼합물을 제공하는 과정과 상기 반응 가스 혼합물을 글로우 방전에 노출시키는 과정이 포함된다. 상기 층의 제1 부분을 상기 표면상에 선택적으로 형성하는 작업은, 상기 층의 제2 부분을 제2 표면상에 선택적으로 디포지션하는 과정을 전후로하여 수행된다.
본 발명에 따른 집적 회로의 제조에 있어서 텅스텐 질화물을 형성하기 위한 방법에는 기판 어셈블리에 일반적으로 수평인 제1 표면과 그로부터 확장되는 제2표면을 제공하는 단계가 포함된다. 텅스텐 질화물은 제1 시간 주기동안 수평인 제1 표면상에 형성되고, 텅스텐 질화물은 제2 시간 주기 동안 PECVD법에 의해 제2표면상에 디포지션된다.
상기 방법의 실시예에 있어서, 텅스텐 질화물은 WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 반응 가스 혼합물을 사용하여 PECVD법에 의해 상기 제1 표면상에 형성된다. 상기 텅스텐 질화물은 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응물 가스 혼합물을 사용하여 PECVD법에 의해 제2표면상에 디포지션된다. 또한, 텅스텐 질화물을 제2 시간 주기 동안 텅스텐 질화물을 텅스텐 질화물을 제2표면에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은 텅스텐 질화물을 제2 시간 주기 동안 제2표면에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압의 약 1.5배에서 약 20배 사이의 범위에 있다.
상기 방법의 또다른 실시예에서, 텅스텐 질화물은 제2 시간 주기 동안에 WF6,NF3와 N2중 적어도 하나, H2및 He를 포함하는 가스 혼합물을 사용하여 PECVD법에 의한 제2표면 상에 디포지션된다. WF6및 NF3와 N2중 적어도 하나의 부분압은 전체 압력의 약 0.1%에서 약 20% 사이의 범위에 있다. 또한 상기 디포지션은 약 200℃에서 약 500℃ 범위의 기판 온도에서 수행된다.
본 발명에 따른 또다른 방법에 있어서, 텅스텐 질화물의 컨포멀층은 밑면과 그로부터 확장되는 적어도 하나의 옆면에 의해 만들어지는 개구부에 형성된다. 상기 방법은 WF6,NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 가스 혼합물을 사용하여 PECVD 법에 의하여 적어도 밑면 상에 텅스텐 질화물을 디포지션하는 것을 포함한다. 상기 방법은 또한 WF6,NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2 반응 가스 혼합물을 사용하여 PECVD법에 의해 적어도 한개의 옆면 상에 텅스텐 질화물을 디포지션하는 것을 포함한다.
상기 방법의 한 실시예에 있어서, 상기 적어도 하나의 옆면 상에 텅스텐 질화물을 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은 전체 압력의 약 0.1% 내지 약 20%의 범위 내에 있으며, 텅스텐 질화물을 상기 적어도 하나의 옆면 상에 디포지션할 때, He의 부분압은 전체압력의 약 0.5% 내지 약 50%의 범위에 있다.
본 발명에 따른 다른 방법에 있어서, 상기 컨포멀 층을 형성하는 2단계 방법은 다양한 이용 분야, 예를 들어 소형의 고 종횡비 개구부나 캐패시터를 위한 전극의 형성, 상호연결 구조의 형성등에 사용된다.
본 발명은 첨부된 도면을 참조하여 아래에서 더 상세하게 설명될 것이다.
도 1A-1D를 참조하여 본 발명을 개략적으로 설명하고자 한다. 그리고, 실시예와 본 발명이 사용되는 응용의 설명은 도 2A-2D 및 도 3A-3D를 참조하여 행해질 것이다. 상기 도면상에서의 스케일링(scaling)이 거기에 그려진 다양한 엘리먼트의 정확한 치수를 나타내지는 않는다는 사실이 상기 기술분야의 당업자에게는 명백할 것이다.
도 1A-1D은 본 발명에 따른 기판 어셈블리(10) 상에 재료(40;도 1D), 예컨대 텅스텐 질화물의 컨포멀 층을 형성하는 2단계 방법을 보여준다. 도 1A에 보여진 바와 같이, 기판 어셈블리(10)는 제1부분(11)과 제2부분(12)을 포함한다. 제2부분(12)은 제1부분(11)상에 형성되고 제1부분(11)의 밑면(16)과 제2부분의 하나 이상의 옆면들에 의해 형성되는 개구부(14)를 포함한다. 밑면(16)은 일반적으로 수평면이며 그로부터 하나 이상의 옆면들(20)이 확장된다. 상기 옆면(20)은 도 1A에 보여진 바와 같이 수평 밑면(16)에 대해 충분히 수직이며, 제2부분(12)에서 바람직한 개구부(14)를 형성하기 위한 다른 바람직한 각을 이루고 있을 수도 있다. 제2부분(12)은 또한 밑면(16)에 평행한 일반적으로 수평인 윗면(18)을 포함한다. 제2부분(12)의 하나 이상의 옆면(20)과 일반적으로 수평인 윗면(18)은 에지 또는 코너(22)를 공유한다. 마찬가지로, 상기 하나 이상의 옆면(20)은 또한 밑면(16)과 함께 코너 또는 에지(21)를 이룬다.
여기에서 사용된 바와 같이, "기판 어셈블리"는, 예컨대 웨이퍼에서 실리콘 재료의 가장 낮은 층의 경우의 베이스 반도체 층과 같은 반도체 기판, 또는 사파이어 상의 실리콘 같이 다른 재료 상에 디포지션된 실리콘 층, 또는 하나 이상의 층 또는 그 위의 구조 또는 그 안의 영역들을 갖는 반도체 기판을 가리킨다. 이 참조 방법이 다음의 설명에서 기판 어셈블리에 만들어질 때, 이전에는 다양한 프로세스 단계가 영역들, 접합부들, 다양한 구조나 피처들 및 바이어스, 접합부 개구부, 고 종횡비 개구부 등과 같은 개구부를 형성하거나 만들기 위해 사용되어 왔다.
예를 들어, 기판 어셈블리(10)의 제2부분(11)은 캐패시터가 그 위에 형성되는 구조이고, 상기 기판 어셈블리(10)의 제2부분(12)은 실리콘 이산화물, BPSG, PSG등의 산화층과 같은 절연층이다. 그로써, 기판 어셈블리에 밑면(16)과 하나 이상의 측벽들(20)로 형성되는 개구부(14)는, 도 2A-2D를 참조하여 설명된 바와 같이, 저장 셀 캐패시터의 하부 전극이 형성되는 표면을 포함한다.
또한, 기판 어셈블리(10)의 제1 부분(11)은 절연층(12)을 통하여 접촉 될 소스 및/또는 드레인 영역을 포함한다. 그로써, 밑면(16)과 하나이상의 옆면(20)에 의해 형성되는 개구부(14)는, 여기서 도 3A-3D를 참조하여 설명된 바와 같이, 본발명에 따라 디포지션된 도전 물질을 이용하여 상호 연결될 영역으로의 접촉 개구부이다.
또한, 예를 들어, 상기 기판 어셈블리(10)는 컨포멀 절연층이 디포지션될 어떠한 구조도 포함한다. 예를 들어, 상기 구조는 아이솔레이션 트렌치 또는 컨포멀층이 요구되는 다른 피처와 같은 계단화된 피처를 포함한다.
본 발명에 따른 2단계 방법은, 컨포멀층 예컨대 텅스텐 질화물과 같은 도전 재료의 컨포멀층이나 실리콘 이산화층 또는 다른 산화층 같은 절연층의 형성을 필요로하는 어떠한 응용에도 사용된다. 그러나 본 발명은 접촉 홀들이나 산화 절연층을 통과하는 비아와 같은 소형의 고 종횡비 개구부들을 형성하는 밑면과 하나 이상의 옆면 모두에서, 피처 표면, 예컨대 스텝 커버리지와 같은 피처들 표면상의 텅스텐 질화물의 컨포멀 커버리지를, 밑에 놓인 물질, 트렌치, 셀 전극을 형성하기 위한 개구부 기타에 제공하는데 특히 유리하다. 해당 분야의 당업자라면 상기 2단계 형성 방법은 균일하고 컨포멀의 층을 필요로 하는 임의의 표면 영역상에 텅스텐 질화물과 같은 어떠한 절연층 또는 도전층을 형성하는 데도 사용될 수 있다는 것을 알 것이다. 여기서 사용된 바와 같이, 컨포멀 커버리지는 상기 표면과 동일한 형태의 표면상에 일반적으로 균일한 재료층을 제공하는 것을 말한다. 즉 상기 층의 표면과 커버되는 표면은 일반적으로 평행하다. 해당 분야의 당업자는 물론 "일반적으로"라는 용어가 어느 정도의 오차는 허용한다는 것을 알 것이다.
여기 설명된 바와 같이, 소형의 고 종횡비 개구부들은 1 마이크론 이하의 피처 크기와 임계 디멘션(예컨대, 틈의 지름 또는 두께가 약 1 마이크론 이하인 경우)를 가지는데, 약 0.5 마이크론 이하의 임계 치수를 가질 수도 있고, 0.3 마이크론 이하도 가능하다. 그러한 소형의 고 종횡비 개구부들은 약 1보다 큰 종횡비를 가지며, 약 5보다 큰 종횡비를 가질 수도 있고, 20이상의 종횡비도 가능하다. 그러한 임계 치수들과 종횡비들은 접촉 홀, 비아, 트렌치들 및 다른 개구부들에 적용가능하다. 예를 들어, 틈 두께가 1 마이크론이고 깊이가 3 마이크론인 트렌치의 종횡비는 3이다. 또한, 예를 들어, 라인 간격이 0.22 마이크론인 경우에, 본 발명은 고 종횡비 틈 내에서 바람직한 컨포멀 스텝 커버리지를 제공한다.
텅스텐 질화물의 디포지션에 관하여, 아래에서 더 상세히 설명되기는 하지만, 본 발명은 1 프로세스 단계 동안에 한 부분의 층이 일반적으로 수평인 표면상에 선택적으로 형성되고, 상기 층의 추가적인 부분은 하나 이상의 다른 프로세스 단계 동안에 수평면, 예컨대 옆면으로부터 확장되는 다른 표면들상에 선택적으로 형성되는 다중 단계 프로세스를 사용하는 어떠한 컨포멀 층의 형성에도 적용가능하다. 다른 말로 하면, 서로 다른 시간 주기동안에 수행되는 적어도 2 프로세스 단계는 기판 어셈블리 표면 상에 컨포멀 층을 디포지션하는데 사용된다. 디포지션되는 컨포멀층은 절연층일 수도, 도전층일 수도 있다. 예를 들어, 다단계 프로세스는 컨포멀 산화 층, 예컨대 시레인과 질화 산소를 사용하는 실리콘 이산화층 또는 산소와 TEOS를 사용하는 TEOS 산화층을 디포지션하는데 사용된다. 또한, 예를 들어 실리콘 질화층은 시레인과 암모니아를 사용하여 디포지션된다. 예컨대 고 종횡비로 피처들 상에 컨포멀 디포지션하기 어려운 티타늄 질화물, 텅스텐 질화물 또는 다른 도전층들도 여기에 설명된 다단계 프로세스에 의하여 디포지션될 수 있다.
해당 분야의 당업자들은 상기 다단계 프로세스가 각 프로세스 단계를 조절하여 기판 어셈블리 표면의 바람직한 부분상에 선택적으로 디포지션 할 수 있도록 한다는 사실을 쉽게 알 수 있을 것이다. 예를 들어, 일반적으로 수평인 표면 상으로의 재료의 선택적인 디포지션은 그러한 재료를 그로부터 확장된 표면, 예컨대 일반적으로 수직 표면상에 선택적으로 디포지션되는 것과는 다른 프로세스 파라미터들을 요구할 수도 있다. 그러한 선택적인 디포지션을 수행하기 위한 다른 프로세스 파라미터들과 방법들이 텅스텐 질화물에 관하여 아래에 설명되지만, 해당 분야의 당업자라면 예컨대 계단화된 피처들 상에 재료를 선택적으로 디포지션하기 위해 제어가능한 프로세스 단계들은 동등하게 다른 재료들에도 적용가능하다는 것을 알게 될 것이다. 여기에 사용된 바와 같이, 표면상에 재료를 선택적으로 형성하는 것은 상당히 높은 정도까지 상기 재료를 한 표면의 다른 부분에 대해서 그 표면의 특정 부분상에 선택적으로 디포지션하는 것을 가리킨다. 그러나 소량의 재료가 상기 표면의 다른 부분에 형성될 수도 있다. 예를 들어 도 1B에 보여진 바와 같이, 재료는 옆면 근처의 코너에는 극소량의 재료만 형성되고, 상기 기판 어셈블리의 수평 표면상에 선택적으로 형성된다.
본 발명에 따른 텅스텐 질화물의 형성은 도 1A-1D를 사용하여 설명될 것이다. 상기 프로세스는 도 1B에 보여진 바와 같이 적어도 일반적으로 수평인 표면들, 예컨대 개구부(14)의 바닥 표면(16) 및 기판 어셈블리(10)의 윗면(18)과 같은 표면들 상에 텅스텐 질화물을 형성하는 제1 단계를 포함한다. 텅스텐 질화물 형성 방법의 제2단계는 텅스텐 질화물을 도 1C에 나타난 바와 같이 적어도 상기 개구부(14)를 형성하는 옆면(20)상에 선택적으로 형성하는 것을 포함한다. 기판 어셈블리(10)위의 컨포멀 균일 텅스텐 질화물층(40)의 결과적인 구조는 도 1D에 보여진다.
해당 분야의 당업자는 상기 순차적인 다단계 프로세스의 단계들이 어떤 순서로도 수행될 수 있다는 사실을 알 것이다. 예를 들어, 1 이상의 옆면(20)상에 텅스텐 질화물의 형성은 밑면(16)을 포함하는 일반적으로 수평인 표면들 상에 텅스텐 질화물을 형성하기에 앞서 행해질 수 있다. 그러나, 바람직하게는 상기 텅스텐 질화물은 우선 밑면(16)과 다른 일반적으로 수평인 표면상에 형성되고, 그 다음 상기 텅스텐 질화물은 하나 이상의 옆면(20)상에 형성된다.
상기 예시적인 텅스텐 질화물 형성 방법의 제1 단계에서, 도 1B에 보여진 바와 같이, 텅스텐 질화물은 적어도 기판 어셈블리(10)의 수평 표면 영역 상에 형성된다. 예를 들어, 텅스텐 질화물 영역(24)은 개구부(14)를 이루는 밑면(16)상에 형성되고, 텅스텐 질화물 영역(26)은 수평인 윗면(18)상에 형셩된다. 또한, 텅스텐 질화물은 하나 이상의 옆면(20)상의 근접한 코너 또는 에지(22)를 형성한다. 그러나, 텅스텐 질화물은 하나 이상의 옆면(20)상에 컨포멀으로 형성되지는 않는다.
상기 일반적으로 수평인 표면, 예컨대 밑면(16)과 윗면(18)과 같은 표면상에 형성된 텅스텐 질화물은 하나 이상의 다양한 프로세스들에 의해 형성된다. 예를 들어, 상기 일반적으로 수평인 표면상에 그러한 텅스텐 질화물 재료(24,26 및 28)의 형성은 텅스텐 질화물 디포지션 타깃으로부터 또는 질소 대기내의 텅스텐 디포지션 타깃으로부터 스퍼터링 하므로써 형성된다. 예를 들어, 텅스텐 질화물의 그러한 PVD에 대한 설명은 U.S. Patent No.5,633,200 to Hu, entitled "Process for Manufacturing a Large Grain Tungsten Nitride Film and Process for Manufacturing a Lightly Nitride Silicide Diffusion Barrier with a Large Grain Tungsten Nitride Cover Layer," issued May 27, 1997. 또한 그러한 텅스텐 질화물 영역(24,26 및 28)은 CVD, 예컨대 대기중의, 저압 또는 PECVD 법에 의해 디포지션된다. 바람직하게는, 일반적으로 수평인 표면, 예컨대 밑면(16)과 윗면(18)상에 텅스텐 질화물이 형성은 PECVD법에 의해 수행된다.
PECVD를 사용하는 본 발명에 따른 단계들은 Genus, Inc., Applied Materials, Inc., 또는 Novelus, Inc.에서 이용 가능한 반응 챔버와 같은 PECVD 반응로에서 수행될 수 있다. 그러나, PECVD를 수행하는데 적합한 어떠한 반응 챔버도 사용될 수 있다.
PECVD 프로세스에서, 상기 반응물 가스들은 압력이 낮은(즉, 주위의 압력에 비해 낮은) 반응 챔버로 유도된다. 상기 반응 챔버는 산소같이 원치않는 반응 물질들을 제거하기 위한 진공 펌프에 의해 비워진다. 그리고, 반응물 가스들을 포함하는 반응물 가스 혼합물은 상기 챔버로 받아들여 진다. 이것은 다양한 기술에 의해 수행된다. 예를 들어, 상기 챔버로의 유도는 상온에서 기체인 혼합물을 사용하거나 또는 휘발성 혼합물을 가열하고 그것을 통해 가스를 부풀리므로써 반응 챔버안으로 수송하는 식으로 이루어 진다. 상기 혼합물을 상기 챔버로 유도하는데 사용되는 기술들은 변형될 수 있고, 본 발명이 어느 특정한 기술에 제한되어 있지 않다는 것은 쉽게 알 수 있다. 반응성 혼합물 외에도, 희석 가스가 상기 챔버 안으로 흘러들어갈 수 있다. 예를 들어, 아르곤은 변화된 흐름 속도로 챔버를 통과하여 흐를 수 있다. PEVCD에서, 플라즈마는 반응물 가스를 포함하는 반응 가스 혼합물에 걸쳐 전기장을 인가하므로써 발생된다. 여기에 사용되는 바와 같이, PECVD 법은 고농도 플라즈마를 포함하는 어떠한 만들어진 플라즈마의 이용도 포함한다. 고농도 플라즈마는 약 1011이온/cm3에서 약 1013이온/cm3이다. 상기 플라즈마는 상기 반응을 끝마치도록 하기 위해 에너지를 상기 반응에 추가시킨다. 일반적으로, 플라즈마 프로세스의 사용은 상기 기판 어셈블리가 다른 CVD 프로세스에서 보다 다소 낮은 온도에서 유지될 수 있도록 한다. 어떠한 전력 소스도 상기 반응 챔버의 플라즈마를 발생시키기 위해 사용될 수 있다. 적절한 파워 소스에는 RF 발생기, 마이크로파(예컨대, 2.5 GHz 마이크로파 소스) 발생기, 전자 사이클로트론 공진(ECR ; electron cyclotron resonance) 소스가 포함된다. 바람직한 파워 소스는 표준 13.56 MHz 소스로 동작하는 RF 발생기이다.
예를 들어, 텅스텐 질화물의 디포지션에 있어서, 상기 반응물 가스들에는 가스를 포함하는 텅스텐과 가스를 포함하는 질소를 포함되어 있을 것이다. RF 발생기는 웨이퍼를 고정하고 있는 챔버의 기판 홀더와 반응 챔버 사이에 파워를 공급하여 상기 텅스텐 질화물이 디포지션될 상기 웨어퍼 위의 영역에 플라즈마를 만들어 낼 것이다. 상기 반응물 가스들은 상기 웨이퍼의 가열된 표면에 흡수되면, 상기 반응 챔버 내에서 반응을 시작한다. 상기 웨이퍼는 예컨대, 저항 가열된 서셉터에 의해서 또는 램프 소스 또는 다른 가열 방법을 통해 바람직한 온도로 가열된 (그래파이트 또는 알루미나와 같은)기판 홀더로부터의 전달에 의해서 가열된다. 화학 반응이 일어나고 이에 의해 텅스텐 질화물 층을 상기 웨이퍼의 표면상에 디포지션한다.
바람직하게는, 본 발명에 따라, 일반적으로 수평인 표면, 예컨대 밑면(16)상에 텅스텐 질화물을 형성하기 위해 WF6및 NF3와 N2중 적어도 하나가 플로우 미터(flow meter) 같은 것을 거쳐 상기 반응 챔버로 유도된다. 또한, 감소시키는 가스로서 H2가 상기 반응 챔버로 유도된다. 또한, 아르곤이나 헬륨같은 불활성 기체도 전체의 가스 흐름과 상기 반응 챔버 안의 부분압을 바꾸기 위한 희석 가스로서 상기 반응 챔버에 공급될 수 있다. 상기 반응 가스와 반응하지 않는 한 어떤 불활성 가스도 사용될 수 있다. 상기 반응 챔버에서, 상기 반응 가스 혼합물은 바람직하게는 글로우 방전이나 약 0.1 W/cm2에서 약 2 W/cm2정도의 파워 농도에서 상기 반응물 가스 혼합물에 13.56 MHz의 고 주파수 전자기장을 인가하므로써 발생하는 플라즈마에 노출된다.
바람직하게는, 상기 반응 가스 혼합물은 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하고 있는 텅스텐과 질소의 부분압은 전체압력의 약 0.5%에서 약 50%가 되도록 만들어 진다. 바람직하게는, 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압은 전체 압력의 약 1%에서 약10%의 범위에 있다.
상기 반응 챔버에서, 상기 디포지션 압력은 약 0.1 torr 에서 약 30 torr 범위의 저압에서 유지된다. 바람직하게는, 상기 디포지션 압력은 약 0.3 torr에서 약 3 torr의 범위에 있다. 또한, 상기 웨이퍼 또는 기판 온도는 약 200℃에서 약 500℃의 저온으로 유지된다. 더 바람직하게는, 상기 온도는 약 250℃에서 약 500℃사이의 범위이다. 보다 더 바람직하게는, 약 250℃에서 약 350℃의 범위이다. 텅스텐 질화물을 밑면(16)을 포함하는 일반적으로 수평인 표면상에 디포지션되는 동안 상기 온도와 전체 압력을 감소시키므로써, 아래 스텝 커버리지가 향상된다. 예를 들어, 텅스텐 질화물(24)의 층은 하나 이상의 옆면(20)에 근접한 밑면(16)상에 형성된다.
밑면(16)과 다른 일반적으로 수평인 표면, 예컨대 윗면(18)상에 텅스텐 질화물의 디포지션을 더 향상시키기 위해, 바이어스가 상기 텅스텐 질화물이 상기 디포지션을 더 방향성으로 만들도록 디포지션되고 있는 웨이퍼에 인가된다. 예를 들어, 0에서 200 볼트 RF를 상기 웨이퍼 또는 상기 웨이퍼가 위치한 기판 홀더에 인가하므로써 바이어스가 제공될 수 있다.
제1 시간 주기동안 제1 단계에 따라 텅스텐 질화물을 형성한 뒤에, 상기 결과적인 구조는 도 1B에 보여진 바와 같다. 그 다음, 상기 텅스텐 질화물 형성 프로세스의 제2단계에서, 제2 시간 주기동안 PECVD에 의해 텅스텐 질화물은 도 1C에 나타난 바와 같이 상기 개구부(14)의 하나 이상의 옆면 상에 디포지션된다. 제2 시간 주기동안 개구부(14)의 하나 이상의 옆면(20)상에 텅스텐 질화물의 디포지션은 일반적으로 수평인 표면상에 텅스텐 질화물의 디포지션과 같은 반응 챔버에서 또는 완전히 다른 반응 챔버에서 행해진다. 제2단계 에서는, 헬륨을 다른 반응 가스들과 함께 반응 챔버로 유도하여, 전체 압력에 대한 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 상기 텅스텐과 질소의 부분압을 증가시키므로써, 상기 옆면(20)의 컨포멀 커버리지가 향상된다. 바람직하게는, 밑면(16)으로부터 확장되는 면, 예컨대 옆면(20)의 컨포멀 커버리지를 제공하기 위해, WF6및 NF3와 N2중 적어도 하나, N2, H2및 He이, 예컨대 플로우 미터를 통하여, 상기 반응 챔버에 유도된다. 이전에 지적된 바와 같이, 불활성 희석 가스가 전체적인 가스 흐름과 부분압을 바꿀 반응 챔버로 유도된다. 상기 반응 챔버에서, 상기 반응 가스들은 바람직하게는 글로우 방전 또는 상기 반응 가스 혼합물에 걸쳐 0.1 W/cm2에서 2 W/cm2의 전력 농도에서 13.56 MHz의 고 주파수 전자기장을 인가하므로써 발생되는 플라즈마에 노출된다.
바람직하게는, 개구부(14)를 형성하는 하나 이상의 옆면(20)상에 컨포멀 커버리지를 만들기 위하여, 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압은 전체 압력의 약 1%에서 10% 범위에 있다. 또한, 상기 반응 챔버에서의 헬륨의 부분압은 약 0.5%에서 약 50% 범위에 있다. 더 바람직하게는, 상기 반응 챔버에서의 헬륨의 부분압은 약 5%에서 약 20%의 범위 내에 있다.
하나 이상의 옆면(20)상의 텅스텐 질화물을 형성하는 단계는 바람직하게는 약 200℃에서 약 500℃ 범위의 기판 온도로 상기 반응 챔버에서 수행된다. 보다 바람직하게, 상기 온도는 약 300 ℃에서 약 400℃ 범위에 있다. 또한, 상기 반응 챔버에서의 디포지션 압력은 약 0.1 torr에서 30 torr의 범위에 있다. 보다 바람직하게는, 상기 디포지션 압력은 약 0.3 torr에서 약 3 torr의 범위에 있다.
제1 단계에서의 반응 가스들을 포함하는 텅스텐과 질소의 부분압을 제2단계 에서의 그것과 비교하면, 상기 하나 이상의 옆면(20)상에 상기 텅스텐 질화물을 디포지션하는데 사용되는 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압은, 상기 일반적으로 수평인 표면, 예컨대 밑면(16)상에 텅스텐 질화물을 디포지션하는데 사용되는 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압의 약 1.5배에서 약 20배의 범위이다. 바람직하게는, 상기 하나 이상의 옆면(20)상에 상기 텅스텐 질화물을 디포지션하는데 사용되는 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압은, 밑면(16) 또는 상기 일반적으로 수평인 표면 상에 텅스텐 질화물을 디포지션하는데 사용되는 반응 가스들(즉, WF6및 NF3와 N2중 적어도 하나)을 포함하는 텅스텐과 질소의 부분압의 약 2배에서 10배 사이이다.
해당 분야의 당업자는 대체적인 플라즈마 인헨스드 프로세스가 상기 바람직한 프로세스에 대해 위에 설명된 바와 같은 유사한 2단계 프로세스와 유사한 파라미터들하에서 상기 텅스텐 디포지션을 수행하기 위해 사용될 수 있다는 것을 쉽게 알 것이다. 예를 들어, 하나의 플라즈마 인헨스드 프로세스는 WF6, Si4, N2, H2를 포함하는 반응 가스들을 사용하거나, 또는 WCl6, Si4, N2, H2를 포함하는 반응 가스들을 사용할 수 있다.
도 1C에 나타난 바와 같이, 텅스텐 질화물을 하나 이상의 옆면(20)상에 디포지션하는데 사용되는 형성 과정의 제2단계 동안의 텅스텐 질화물의 디포지션은 일반적으로 수평인 표면 상의 몇가지 추가적인 디포지션이 된다. 예를 들어, 텅스텐 질화물 영역(30)은 하나 이상의 옆면(20)에 근접하여 형성되고, 텅스텐 질화물 영역(34)은 상기 개구부(14)를 형성하는 밑면 상의 이전에 형성된 텅스텐 질화물 재료 위에 형성된다. 또한 텅스텐 질화물 영역(32)은 이전에 상기 윗면(18)위에 디포지션된 텅스텐 질화물 위에 형성된다. 도 1D에 나타난 층(40)은 본 발명에 따른 상기 2단계 텅스텐 질화물 형성 프로세스로부터 생긴다. 상기 텅스텐 질화물 층의 두께는 바람직하게는 약 50Å에서 약 500Å의 범위에 있다. 보다 바람직하게는, 상기 텅스텐 질화물 층(40)의 두께는 약 100Å에서 약 500Å의 범위에 있다. 상기 하나 이상의 옆면(20)상에 디포지션된 텅스텐 질화물 재료의 두께에는 상기 밑면(16)에 상대적으로 약간의 변화가 생긴다. 그러나, 일반적으로 상기 컨포멀 텅스텐 질화물층(40)은 균일하게 ±200Å의 두께, 바람직하게는 ±50Å의 두께를 갖는다.
위에 설명된 텅스텐 질화물 형성 방법을 사용하는 두가지 실시예를 도 2A-2D 및 3A-3D를 참조하여 설명하고자 한다. 본 발명에 따른 형성 방법의 용도는 도 2A-2D를 참조하여 설명되며, 여기서 텅스텐 질화물은 저장 셀의 고 유전율 캐패시터의 한 쪽 또는 양쪽 전극에 사용된다. 또한, 본 발명에 따른 텅스텐 질화물 형성 방법은 도 3A-3D를 참조하여 설명되며, 여기서 텅스텐 질화물의 접촉 라이너가 설명된다. 설명을 간략하게 하기 위해, 설명은 도해적 설명은 이러한 두가지의 도해 구조에 설명된 텅스텐 질화물 층의 사용으로 제한된다. 다양한 장치들, 예컨대 CMOS 장치, 메모리 장치 등을 위한, 본 발명이 유용하게 사용될 수 있는 다른 반도체 프로세스와 구조들이 있으며, 본 발명은 여기에 설명된 실시예, 예컨대 접촉 라이너와 전극 구조에 한정되지 않는다. 상기 텅스텐 질화물 형성 방법은 컨포멀 텅스텐 질화물층을 필요로하는 어떠한 표면 영역에도 사용될 수 있다.
도 2A에 도시된 바와 같이, 장치 구조(100)는 개구부(184)의 형성에 의한 통상적인 프로세싱 기술에 따라 제조된다. 그러한 프로세싱은 바닥 전극 구조를 상기 개구부(184)를 형성하는 표면상에 디포지션하기에 앞서 본 발명에 따른 텅스텐 질화물 형성 방법을 사용하여 수행된다. 그것으로서, 또한 U.S. Patent No. 5,392,189 to Fazan 등에 더 설명된 바과 같이, 상기 장치 구조(100)는 필드 산화물 영역(105)과 능동 영역, 즉 필드 산화물에 의해 커버되지 않는 기판(107) 영역을 포함한다. 워드 라인(121)과 FET(122)는 상기 능동 영역의 필드 산화물 영역(105)에 상대적으로 형성된다. 적절한 소스/드레인 영역(125,130)은 실리콘 기판(107)에 만들어진다. 산화물 재료(140)의 절연 컨포멀층은 FET(122)의 영역과 워드 라인(121)위에 형성된다. 폴리실리콘 플러그(165)는 상기 기판(107)과 그 위에 형성될 저장 셀 캐패시터 사이에의 전기적인 통신을 제공하도록 만들어 진다. 다양한 배리어층이 상기 폴리실리콘 플러그(165)위에 형성되고, 이 배리어층에는 층(167 및 175)이 포함된다. 예를 들어, 그러한 층들은 티타늄 질화물, 텅스텐 질화물 또는 배리어로서 작용하는 다른 금속 질화물일 수 있다. 예를 들어, 그러한 텅스텐 질화물층은 본 발명에 따라 디포지션될 수 있다. 그 다음, 또 다른 절연층(183)이 형성되고 개구부(184)가 그 안에 형성된다.
본 발명의 한 실시예에 따르면, 텅스텐 질화물층(109)은 개구부(184)를 이루는 밑면(185)와 하나 이상의 옆면(186)상에 형성된다. 첫째로, 도 2B에 나타난 바와 같이, 텅스텐 질화물(103)은 상기 장치 구조(100)의 밑면(185)과 아랫면(189)상에 형성된다. 그 다음, 도 2C에서는 상기 텅스텐 질화물 디포지션 방법의 제2단계가 개구부(184)를 이루는 하나 이상의 옆면(186) 상에 텅스텐 질화물을 형성하는데 사용된다. 도 2C에 나타난 바와 같이, 컨포멀 커버리지를 갖는 균일한 텅스텐 질화물층(109)이 제공된다. 그 다음, 도 2D에 나타난 바와 같이, 상기 텅스텐 질화물층(109)은 형성되어 상기 개구부(184)의 윤곽을 나타내는 텅스텐 질화물층(187)이 된다.
유전층(191)이 상기 텅스텐 질화물층(187)에 상대적으로 형성된다. 예를 들어, 상기 유전층은 BaxSr(1-x)TiO3[BST], BaTiO3, SrTiO3, PbTiO3, Pb(Zr,Ti)O3[PZT], (Pb,La)(Zr,Ti)O3[PLZT],(Pb,La)TiO3[PLT], KNO3및 LiNbO3와 같이 적절한 유전 상수만 갖는다면 어떤 재료라도 될 수 있다.
그 다음, 제2전극(192)이 상기 유전 물질(191)에 상대적으로 형성된다. 본 발명에 따른 실시예에서, 제2전극(192)는 또한 여기에 설명된 바와 같이 상기 2단계 프로세스에 따른 텅스텐 질화물로 형성되고, 더이상 상세하게는 설명되지는 않을 것이다.
해당 분야의 당업자라면 캐패시터의 한쪽 또는 양쪽 전극이 본 발명에 따라 형성될 수 있다는 사실을 알 수 있을 것이다. 상기 전극 중 하나가 텅스텐 질화물로 형성되지 않는다면, 그 전극은 일반적으로 캐패시터 전극 구조를 위해 사용되는 다른 도전 물질일 것이다. 또한, 해당 분야의 당업자라면 텅스텐 질화물층이 전극 스택을 이루는 수개의 층 중 하나라는 사실을 알게 될 것이다. 본 발명의 사용으로, 캐패시터의 한 쪽 또는 양쪽 전극은 컨포멀이면서 균일한 두께로 형성되는 텅스텐 질화물로 형성되며 바람직한 저항과 배리어 성질을 제공하게 된다.
해당 분야의 당업자라면 텅스텐 질화물이 그 위에 컨포멀로 형성될 표면을 갖는 어떠한 캐패시터도 본 발명의 효과를 얻게 될 것이라는 사실을 알 수 있을 것이다. 예를 들어, 컨테이너 캐패시터는 통상적으로 컨포멀한 형성을 필요로 하는 표면상에 형성되는 전극들을 포함한다. 그러한 컨테이너 캐패시터 저장 셀은 U.S. Patent No. 5,270,241 to Dennison 등, entitled "Optimized Container Stacked Capacitor DRAM Cell Utilizing Sacrificial Oxide Deposition and Chemical Mechanical Polishing", issued December 14, 1993.에 설명되어 있다.
도 3A에 도시된 바와 같이, 장치 구조(200)는 기판(207)의 노출된 접촉 영역(255)의 금속화에 앞서, 접촉 개구부(259)의 형성을 통해, 통상적인 프로세싱 기술에 따라 제조된다. 그에 의하여, 금속화에 앞서, 상기 장치 구조(200)는 필드 산화물 영역(205)과 능동 영역, 즉 필드 산화물에 의해 커버되지 않은 기판(207)의 영역들을 포함한다. 워드 라인(221)과 FET(222)는 상기 필드 산화물 영역(205) 및 상기 능동 영역에 상대적으로 형성된다. 적절히 도핑된 소스/드레인 영역(225,230)은 해당 분야의 당업자에게 알려진 데로 형성된다. 산화물 재료(240)의 컨포멀층은 그 위에 형성되고, 실리콘 기판(207)의 도핑된 영역(230)의 노출된 접촉 영역(255)로의 접촉 개구부(259)가 그 안에 만들어 진다. 그 다음, 하나 이상의 금속화 또는 도전층이 기판 영역(230)으로의 전기적 연결을 제공하기 위하여 상기 접촉 개구부(259)내에 형성된다. 예를 들어, 티타늄 질화물 또는 다른 확산 배리어 재료들과 같은 다양한 재료들은 접촉 영역(259)에 형성된다. 바람직하게, 접촉 라이너(285)는 본 발명에 따라 상기 개구부(259)를 이루는 하나 이상의 옆면(261)과 함께 밑면(260)과 일반적으로 수평인 윗면(263)상에 디포지션된 텅스텐 질화물로 형성된다.
도 3B에 도시된 바와 같이, 상기 텅스텐 질화물 프로세스의 제1 단계 동안에, 텅스텐 질화물 영역(270)은 밑면(260), 상기 FET(222) 부분 및 워드 라인(221)을 포함하는 일반적으로 수평인 표면과 윗면(263)상에 형성된다. 그 다음, 상기 텅스텐 질화물 디포지션 프로세스의 제2단계가 수행되고 도 3C에 보여진 바와 같이 옆면(26)을 포함하는 모든 표면들 위의 균일한 텅스텐 질화물층(275)이 된다. 상기 층부분을 제거 하자마자, 라이너(285)가 접촉 개구부(259)내에 형성된다. 그 다음, 도전 물질(276)이 기판(207)의 도핑된 영역으로의 연결을 제공하기 위한 접촉 개구부에 형성된다.
여기에 인용된 모든 특허와 참고문헌들은 완전히 여기에 합병된다. 본 발명은 도해적인 실시예들을 참조하여 설명되었으며, 이것은 본 발명의 범위를 제한 하고자 하는 의도가 아니다. 이전에 설명된 바와 같이, 해당 분야의 당업자는 다양한 다른 실시예들은, 하나 이상의 표면에 상대적인 컨포멀이고 균일한 층을 제공하기 위해 여기에 설명된 바와 같은 형성 방법을 이용할 수 있을 것이다. 본 발명의 실시예 외에도 상기 실시예의 다양한 변형이 이 설명을 참조하여 해당 분야의 당업자들에게 명백할 것이다. 첨부된 청구항들이 첨부된 청구항에 의해 정의된 것과 같은 본 발명의 범위 내에 있는 그러한 변형이나 실시예들을 표현하게 될 것이다.

Claims (37)

  1. 집적 회로의 제조에 있어서 컨포멀 층을 형성하기 위한 방법으로서,
    적어도 일반적으로 수평인 제1 표면과 그로부터 확장된 제2표면을 포함하는 기판 어셈블리를 제공하는 단계;
    상기 층의 제1 부분을 제1 시간 주기 동안 상기 수평인 제1 표면 상에 선택적으로 형성시키는 단계; 및
    상기 층의 제2부분을 제2 시간 주기 동안에 상기 제2 표면 상에 선택적으로 디포지션(deposition)시키는 단계를 포함하는 것을 특징으로 하는 컨포멀층 형성 방법.
  2. 제1항에 있어서, 상기 층은 절연층인 것을 특징으로 하는 컨포멀층 형성 방법.
  3. 제1항에 있어서, 상기 층은 도전층인 것을 특징으로 하는 컨포멀층 형성 방법.
  4. 제1항에 있어서, 적어도 부분적으로는 상기 제1 및 제2 표면에 의해 개구부가 만들어지고, 상기 개구부는 약 1이상의 종횡비와 약 1마이크론 이하의 임계 치수를 갖는 소형의 고 종횡비 개구부인 것을 특징으로 하는 컨포멀 층 형성 방법.
  5. 제1항에 있어서, 상기 층의 제2부분을 상기 제2표면에 디포지션하는 단계에는, 반응 가스 혼합물을 제공하는 단계 및 상기 반응 가스 혼합물에 전자기장을 인가하므로써 발생된 글로우 방전에 상기 반응 가스 혼합물을 노출시키는 단계를 포함하는 것을 특징으로 하는 컨포멀 층 형성 방법.
  6. 제1항에 있어서, 상기 층의 제1부분을 선택적으로 제1 표면상에 형성시키는 단계는 상기 층의 제2부분을 선택적으로 제2표면상에 디포지션시킨 후에 수행되는 것을 특징으로 하는 컨포멀층 형성 방법.
  7. 집적 회로의 제조에 있어서 텅스텐 질화물을 형성하기 위한 방법으로서,
    적어도 하나의 일반적으로 수평인 제1 표면과 그로부터 확장된 제2표면을 포함하는 기판 어셈블리를 제공하는 단계;
    텅스텐 질화물을 제1 시간 주기 동안 적어도 상기 수평인 제1 표면 상에 형성시키는 단계;
    텅스텐 질화물을 제2 시간 주기 동안 PECVD법에 의해 적어도 상기 제2표면 상에 디포지션시키는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  8. 제7항에 있어서, 텅스텐 질화물을 적어도 상기 수평인 제1 표면 상에 형성시키는 단계에는 PEVCD법에 의해 상기 텅스텐 질화물을 상기 제1 표면 상에 디포지션시키는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  9. 제8항에 있어서, 텅스텐 질화물을 제2 시간 주기 동안 상기 제2표면상에 디포지션하는 단계는 상기 텅스텐 질화물을, 헬륨을 포함한 반응 가스 혼합물을 사용하여 PECVD법에 의해 상기 제2표면상에 디포지션하는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  10. 제8항에 있어서, 텅스텐 질화물을 제1 표면 상에 형성시키는 단계는 상기 텅스텐 질화물을, WF6, NF3과 N2중 적어도 하나 및 H2를 포함하는 제1 반응 가스 혼합물을 사용하여 PECVD법에 의해 제1 표면 상에 디포지션시키는 단계를 포함하며,
    텅스텐 질화물을 PECVD법에 의해 상기 제2표면 상에 디포지션시키는 단계는는 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응 가스 혼합물을 사용하는 것이 포함되며,
    또한 제2 시간 주기 동안에 텅스텐 질화물을 상기 제2표면상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은 상기 텅스텐 질화물을 상기 제1 시간 주기 동안 상기 제1 표면 상에 디포지션하는 데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압의 약 1.5배에서 약 20배의 범위에 있는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  11. 제10항에 있어서, 상기 제2 시간 주기 동안 텅스텐 질화물을 상기 제2표면상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은, 상기 제1 시간 주기동안 상기 텅스텐을 상기 제1 표면 상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압의 약 2배에서 약 10배의 범위에 있는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  12. 제8항에 있어서, 텅스텐 질화물을 PECVD법에 의해 상기 제1 표면 상에 형성시키는 단계는 상기 기판 어셈블리를 바이어스 시키는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  13. 제8항에 있어서, 텅스텐 질화물을 PECVD법에 의해 상기 제1 시간 주기 동안 상기 제1 표면 상에 디포지션하는 단계는 상기 텅스텐 질화물을 약 200℃ 에서 약 500℃ 범위의 기판 온도에서 디포지션하는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  14. 제12항에 있어서, 텅스텐 질화물을 PECVD법에 의해 상기 제1 시간 주기동안 상기 제1 표면 상에 디포지션하는 단계는 상기 텅스텐 질화물을 약 0.1 torr에서 약 30 torr의 범위에 있는 디포지션 압력에서 디포지션하는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  15. 제7항에 있어서, 텅스텐 질화물을 상기 제1 시간 주기 동안 상기 제1 표면 상에 형성시키는 단계는 PVD법에 의해 텅스텐 질화물을 디포지션하는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  16. 제7항에 있어서, 텅스텐 질화물을 상기 제2 시간 주기 동안 적어도 상기 제2 표면 상에 디포지션하는 단계는 텅스텐 질화물을, WF6및 NF3와 N2중 적어도 하나, H2및 N2를 포함하는 가스 혼합물을 사용하는 PECVD법에 의해 텅스텐 질화물을 디포지션하는 단계를 포함하며,
    WF6및 NF3와 N2중 적어도 하나의 부분압은 전체 압력의 약 0.1%에서 약 20%의 범위에 있는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  17. 제16항에 있어서, 텅스텐 질화물을 PECVD법에 의해 상기 제2 시간 주기 동안 상기 제2 표면 상에 디포지션하는 단계는 상기 텅스텐 질화물을 약 200℃에서 약 500℃ 범위의 기판 온도에서 디포지션하는 단계를 포함하는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  18. 제7항에 있어서, 적어도 부분적으로는 제1 및 제2표면에 의해 개구부가 만들어지고, 상기 개구부는 약 1이상의 종횡비와 약 1 마이크론 이하의 임계 치수를 갖는 소형의 고 종횡비 개구부인 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  19. 밑면과 그로부터 확장되는 적어도 하나의 옆면에 의해 만들어 지는 개구부에서 텅스텐 질화물의 컨포멀층을 형성하기 위한 방법으로서,
    WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 가스 혼합물을 사용하여 PECVD법에 의해 적어도 밑면 상에 텅스텐 질화물을 디포지션하는 단계; 및
    텅스텐 질화물을 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응 가스 혼합물을 사용하여 PECVD법에 의해 적어도 옆면 상에 텅스텐 질화물을 디포지션하는 단계를 포함하는 것을 특징으로 하는 컨포멀층 형성 방법.
  20. 집적 회로의 제조에 있어서 텅스텐 질화물을 형성하기 위한 방법으로서,
    기판 어셈블리에 만들어지며 밑면과 그로부터 확장된 적어도 하나의 옆면에 의해 만들어지는 개구부를 제공하는 단계; 및
    약 50Å에서 약 500Å 범위의 두께인 균일한 텅스텐 질화물층을 상기 밑면과 적어도 하나의 옆면상에 형성시키는데, 상기 균일한 텅스텐 질화물 층을 형성하는 단계는,
    WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 가스 혼합물을 사용하여 PECVD법에 의해 적어도 밑면 상에 텅스텐 질화물을 디포지션하는 단계; 및
    WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2 가스 혼합물을 사용하여 PECVD법에 의해 적어도 옆면상에텅스텐 질화물을 디포지션하는 단계를 포함하는 단계를 특징으로 하는 텅스텐 질화물 형성 방법.
  21. 제20항에 있어서, 상기 개구부는 접촉 개구부와 비아 중의 하나이고, 상기 균일한 텅스텐 질화물층은 그로부터 라이너를 형성하며,
    상기 접촉 개구부와 비아 중의 하나는 약 1이상의 종횡비와 1 마이크론 이하의 임계 치수를 갖는 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  22. 제20항에 있어서, 상기 균일한 텅스텐 질화물 층은 캐패시터 전극인 것을 특징으로 하는 텅스텐 질화물 형성 방법.
  23. 캐패시터를 형성하는데 사용하기 위한 방법으로서,
    안에 기판 어셈블리의 밑면과 그로부터 확장된 적어도 하나의 옆면에 의해 만들어 지는 개구부가 형성될 상기 기판 어셈블리를 제공하는 단계; 및
    상기 밑면과 적어도 하나의 옆면 상에 텅스텐 질화물 층을 포함하는 전극을 형성하는데, 상기 층은 약 50Å에서 약 500Å 범위의 두께이고, 상기 텅스텐 질화물 층의 형성은,
    제1 시간 주기 동안 적어도 밑면 상에는 텅스텐 질화물을 형성시키는 단계; 및
    제2 시간 주기 동안 PECVD법에 의해 적어도 옆면 상에 텅스텐 질화물을 디포지션시키는 단계를 포함하는 단계를 포함하는 것을 특징으로 하는 캐패시터 형성 방법.
  24. 제23항에 있어서, 텅스텐 질화물을 제1 시간 주기동안 적어도 밑면 상에 형성시키는 단계는 상기 텅스텐 질화물을 WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 가스 혼합물을 사용하여 PECVD법에 의해 상기 텅스텐 질화물을 디포지션시키는 단계를 포함하고,
    텅스텐 질화물을 제2 시간 주기 동안에 PECVD법에 의해 적어도 옆면 상에 디포지션시키는 단계는 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응 가스 혼합물을 사용하는 것을 포함하는 단계를 특징으로 하는 캐패시터 형성 방법.
  25. 제23항에 있어서, 상기 전극은 상기 캐패시터의 상부 전극인 것을 특징으로 하는 캐패시터 형성 방법.
  26. 제23항에 있어서, 상기 전극은 상기 캐패시터의 하부 전극인 것을 특징으로 하는 캐패시터 형성 방법.
  27. 상호 연결부를 형성하는데 사용하기 위한 방법으로서,
    안에 개구부가 형성된 기판 어셈블리를 제공하는 단계를 포함하는데, 상기 개구부는 도전성의 밑면과 그로부터 확장된 적어도 하나의 옆면에 의해 만들어 지는 단계; 및
    상기 밑면과 적어도 하나의 옆면 상에 상호 연결 구조를 형성하는 단계를 포함하고, 상기 상호 연결 구조를 형성하는 단계는,
    텅스텐 질화물을 WF6, NF3와 N2중 적어도 하나 및 H2를 포함하는 제1 가스 혼합물을 사용하여 PECVD법에 의해 적어도 밑면 상에는 텅스텐 질화물을 디포지션시키는 단계; 및
    텅스텐 질화물을 WF6, NF3와 N2중 적어도 하나, H2및 He를 포함하는 제2반응 가스 혼합물을 사용하여 PECVD법에 의해 적어도 하나의 옆면 상에 디포지션시키는 단계를 포함하며,
    상기 방법은 상기 텅스텐 질화물과 관련하여 하나 이상의 추가적인 도전 재료를 형성하는 단계를 포함하는 것을 특징으로 하는 상호 연결부 형성 방법.
  28. 제27항에 있어서, 상기 개구부는 접촉 개구부와 비아 중 하나이고, 상기 접촉 개구부와 비아 중의 하나는 1 이상의 종횡비를 가지고, 1 마이크로 이하의 임계 치수를 가지며,
    상기 텅스텐 질화물은 약 50Å에서 약 500Å 범위의 두께로 형성되는 것을 특징으로 하는 상호 연결 형성 방법.
  29. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 상기 밑면 상에 디포지션하는 것은 텅스텐 질화물을 적어도 하나의 옆면 상에 디포지션하기 전에 수행되는 것을 특징으로 하는 방법.
  30. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 밑면 상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은, 상기 텅스텐 질화물을 적어도 하나의 옆면 상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압의 1.5배에서 20배 범위에 있는 것을 특징으로 하는 방법.
  31. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 상기 밑면 상에 디포지션 하는 단계는 상기 개구부를 형성하는 기판 어셈블리를 바이어스시키는 단계를 포함하는 것을 특징으로 하는 방법.
  32. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 상기 밑면 상에 디포지션하는 단계는 약 200℃에서 약 500℃ 범위의 디포지션 온도에서 상기 텅스텐 질화물을 디포지션하는 단계를 포함하는 것을 특징으로 하는 방법.
  33. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 상기 밑면 상에 디포지션하는 단계는 상기 텅스텐 질화물을 약 0.1 torr에서 약 30 torr 범위의 디포지션 압력에서 상기 텅스텐 질화물을 디포지션하는 단계를 포함하는 것을 특징으로 하는 방법.
  34. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 적어도 하나의 옆면 상에 디포지션하는데 사용되는 WF6및 NF3와 N2중 적어도 하나의 부분압은 전체 압력의 약 0.1% 에서 20%의 범위에 있는 것을 특징으로 하는 방법.
  35. 제34항에 있어서, WF6및 NF3와 N2중 적어도 하나의 부분압은, 텅스텐 질화물을 적어도 하나의 옆면 상에 디포지션할 때 전체 압력의 약 1% 에서 약 10%의 범위에 있는 것을 특징으로 하는 방법.
  36. 제34항에 있어서, He의 부분압은 텅스텐 질화물을 적어도 하나의 옆면 상에 디포지션할 때 전체 압력의 약 0.5%에서 약 50% 범위에 있는 것을 특징으로 하는 방법.
  37. 제19항 내지 제28항 중 어느 한 항에 있어서, 텅스텐 질화물을 상기 적어도 하나의 옆면 상에 디포지션하는 단계는 상기 텅스텐 질화물을 약 200℃ 에서 약 500℃ 범위의 온도에서 상기 텅스텐 질화물을 디포지션하는 단계를 포함하는 것을 특징으로 하는 방법.
KR10-2000-7012652A 1998-05-11 1999-05-11 컨포멀 층을 형성하기 위한 다단계 방법 KR100422417B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/076,253 US6218288B1 (en) 1998-05-11 1998-05-11 Multiple step methods for forming conformal layers
US09/076,253 1998-05-11

Publications (2)

Publication Number Publication Date
KR20010043541A true KR20010043541A (ko) 2001-05-25
KR100422417B1 KR100422417B1 (ko) 2004-03-11

Family

ID=22130850

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7012652A KR100422417B1 (ko) 1998-05-11 1999-05-11 컨포멀 층을 형성하기 위한 다단계 방법

Country Status (6)

Country Link
US (3) US6218288B1 (ko)
EP (1) EP1093665A1 (ko)
JP (1) JP2002515649A (ko)
KR (1) KR100422417B1 (ko)
AU (1) AU3898499A (ko)
WO (1) WO1999059197A1 (ko)

Families Citing this family (501)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6303956B1 (en) * 1999-02-26 2001-10-16 Micron Technology, Inc. Conductive container structures having a dielectric cap
US20020036313A1 (en) * 2000-06-06 2002-03-28 Sam Yang Memory cell capacitor structure and method of formation
US6440831B1 (en) * 2000-12-06 2002-08-27 Koninklijke Philips Electronics N.V. Ionized metal plasma deposition process having enhanced via sidewall coverage
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
JP2002317267A (ja) * 2001-04-17 2002-10-31 Nec Kagoshima Ltd 薄膜製造方法
US6458652B1 (en) * 2001-08-20 2002-10-01 Micron Technology, Inc. Methods of forming capacitor electrodes
US6925007B2 (en) * 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US7494894B2 (en) * 2002-08-29 2009-02-24 Micron Technology, Inc. Protection in integrated circuits
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7311947B2 (en) * 2003-10-10 2007-12-25 Micron Technology, Inc. Laser assisted material deposition
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7635651B2 (en) * 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US7892978B2 (en) * 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US7807062B2 (en) * 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7718080B2 (en) 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8048755B2 (en) 2010-02-08 2011-11-01 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8410535B2 (en) * 2011-04-25 2013-04-02 Nanya Technology Corporation Capacitor and manufacturing method thereof
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10095057B2 (en) * 2013-10-23 2018-10-09 Honeywell International Inc. Treatment and/or stabilizing gases in an optical gyro based on an inorganic waveguide
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9817254B2 (en) 2015-02-23 2017-11-14 Honeywell International Inc. Stabilization gas environments in a proton-exchanged lithium niobate optical chip
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9859403B1 (en) 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11655537B2 (en) * 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4847111A (en) 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
KR930011538B1 (ko) * 1991-07-16 1993-12-10 한국과학기술연구원 실리콘 반도체소자의 금속배선 형성용 텅스텐 질화박막 증착방법
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5270241A (en) 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5187120A (en) 1992-08-24 1993-02-16 Hewlett-Packard Company Selective deposition of metal on metal nitride to form interconnect
US5392189A (en) 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
JP2897631B2 (ja) * 1993-12-28 1999-05-31 日本電気株式会社 半導体集積回路装置および製造方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
EP0982772B1 (en) * 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
JPH09116009A (ja) * 1995-10-23 1997-05-02 Sony Corp 接続孔の形成方法
US5612558A (en) * 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US6376781B1 (en) * 1996-05-03 2002-04-23 Micron Technology, Inc. Low resistance contacts fabricated in high aspect ratio openings by resputtering
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5970374A (en) * 1996-10-18 1999-10-19 Chartered Semiconductor Manufacturing Ltd. Method for forming contacts and vias with improved barrier metal step-coverage
TW365685B (en) 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen
US5710070A (en) 1996-11-08 1998-01-20 Chartered Semiconductor Manufacturing Pte Ltd. Application of titanium nitride and tungsten nitride thin film resistor for thermal ink jet technology
EP0841690B1 (en) * 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
US6188097B1 (en) * 1997-07-02 2001-02-13 Micron Technology, Inc. Rough electrode (high surface area) from Ti and TiN
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6042700A (en) 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US5994211A (en) * 1997-11-21 1999-11-30 Lsi Logic Corporation Method and composition for reducing gate oxide damage during RF sputter clean
TW383459B (en) * 1997-12-19 2000-03-01 United Microelectronics Corp Manufacturing method for bit line
US5858831A (en) * 1998-02-27 1999-01-12 Vanguard International Semiconductor Corporation Process for fabricating a high performance logic and embedded dram devices on a single semiconductor chip
TW383494B (en) * 1998-04-21 2000-03-01 United Microelectronics Corp Structure and manufacturing method for capacitors
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6077779A (en) * 1998-05-22 2000-06-20 Taiwan Semiconductor Manufacturing Company Multi-step deposition to improve the conformality of ionized PVD films
JP3869128B2 (ja) * 1998-09-11 2007-01-17 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6197650B1 (en) * 1999-05-15 2001-03-06 United Microelectronics Corp. Method for forming capacitor

Also Published As

Publication number Publication date
EP1093665A1 (en) 2001-04-25
US6281072B1 (en) 2001-08-28
US20020004272A1 (en) 2002-01-10
US6489199B2 (en) 2002-12-03
AU3898499A (en) 1999-11-29
KR100422417B1 (ko) 2004-03-11
WO1999059197A1 (en) 1999-11-18
JP2002515649A (ja) 2002-05-28
US6218288B1 (en) 2001-04-17

Similar Documents

Publication Publication Date Title
KR100422417B1 (ko) 컨포멀 층을 형성하기 위한 다단계 방법
US7144810B2 (en) Methods for forming rough ruthenium-containing layers and structures/methods using same
KR100419921B1 (ko) Ta205유전층형성방법
US6472756B2 (en) Method of forming titanium silicide and titanium by chemical vapor deposition and resulting apparatus
US6822303B2 (en) Titanium boride gate electrode and interconnect
US7741671B2 (en) Capacitor for a semiconductor device and manufacturing method thereof
JP4168397B2 (ja) 高アスペクト比の半導体デバイス用のボロンドープ窒化チタン層
US6593616B2 (en) Buried bit line memory circuitry
KR100505397B1 (ko) 반도체메모리소자의캐패시터제조방법
US5989338A (en) Method for depositing cell nitride with improved step coverage using MOCVD in a wafer deposition system
US6245631B1 (en) Method of forming buried bit line memory circuitry and semiconductor processing method of forming a conductive line
KR100422596B1 (ko) 캐패시터의 제조 방법
KR100365739B1 (ko) 캐패시터의텅스텐상부전극형성방법
KR100406562B1 (ko) 금속배선형성방법
KR100492901B1 (ko) 반도체장치의고유전체캐패시터제조방법
KR100197666B1 (ko) 반도체 소자 및 그 제조방법
KR20020048268A (ko) 반도체 소자의 커패시터 제조 방법
KR19990055196A (ko) 반도체 장치의 고유전체 캐패시터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee