KR101468241B1 - 상호접속 구조체 및 다마신 구조체의 제조 방법 - Google Patents

상호접속 구조체 및 다마신 구조체의 제조 방법 Download PDF

Info

Publication number
KR101468241B1
KR101468241B1 KR1020097014601A KR20097014601A KR101468241B1 KR 101468241 B1 KR101468241 B1 KR 101468241B1 KR 1020097014601 A KR1020097014601 A KR 1020097014601A KR 20097014601 A KR20097014601 A KR 20097014601A KR 101468241 B1 KR101468241 B1 KR 101468241B1
Authority
KR
South Korea
Prior art keywords
zone
ruthenium
tantalum
barrier layer
rich
Prior art date
Application number
KR1020097014601A
Other languages
English (en)
Other versions
KR20090094369A (ko
Inventor
예즈디 도르디
존 엠 보이드
프리츠 씨 레데커
윌리엄 티
티루히라팔리 아루나기리
형석 알렉산더 윤
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090094369A publication Critical patent/KR20090094369A/ko
Application granted granted Critical
Publication of KR101468241B1 publication Critical patent/KR101468241B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

적어도 하나의 개구를 갖는 유전체 재료의 층 및 그 개구를 정의하는 측벽상의 제 1 배리어 층을 포함하는 상호접속 구조체가 제공된다. 루테늄-함유 제 2 배리어 층은 제 1 배리어 층 위에 놓이고, 이 제 2 배리어 층은 루테늄 존, 루테늄 산화물 존, 및 루테늄-리치 존을 갖는다. 루테늄 존은 제 1 배리어 층과 루테늄 산화물 존 사이에 개재된다. 루테늄 산화물 존은 루테늄 존과 루테늄-리치 존 사이에 개재된다.
유전체 재료, 배리어 층, 루테늄, 탄탈, 시드층, 벌크 금속

Description

상호접속 구조체 및 다마신 구조체의 제조 방법{INTERCONNECT STRUCTURE AND METHOD OF MANUFACTURING A DAMASCENE STRUCTURE}
배경
통상적으로, 트랜지스터와 같은 반도체 디바이스는 단결정 실리콘 웨이퍼의 표면상에 형성되고, 다수의 레벨의 패터닝되고 상호접속된 층들을 포함할 수 있다. 능동 소자의 제조가 완료된 후, 이들 능동 소자들은 상호접속 라인, 콘택트, 비아 및 유전체 층들의 다수의 층을 형성함으로써 원하는 회로 구성으로 배선된다.
개요
일 실시형태에서, 상호접속 구조체가 제공된다. 유전체 재료의 층은 적어도 하나의 개구 및 그 개구를 정의하는 측벽상의 제 1 배리어 층을 갖는다. 루테늄 및 산소-함유 제 2 배리어 층이 제 1 배리어 층 위에 놓이고, 제 2 배리어 층은 루테늄 존, 루테늄 산화물 존, 및 루테늄-리치 존을 갖는다. 루테늄 존은 제 1 배리어 층과 루테늄 산화물 존 사이에 개재된다. 루테늄 산화물 존은 루테늄 존과 루테늄-리치 존 사이에 개재된다.
일 실시형태에서, 상호접속 구조체가 제공된다. 유전체 재료의 층은 적어도 하나의 개구 및 그 개구를 정의하는 측벽상의 탄탈 및 질소-함유 제 1 배리어 층을 갖는다. 제 1 배리어 층은 탄탈-리치 존 및 탄탈 질화물 존을 가지고, 탄탈 질화물 존의 질소 함유량은 탄탈-리치 존의 질소 함유량보다 크다. 탄탈 질화물 존은 유전체 재료와 탄탈-리치 존 사이에 개재된다. 루테늄 및 산소-함유 제 2 배리어 층이 제 1 배리어 층 위에 놓이고, 이 제 2 배리어 층은 루테늄 존, 루테늄 산화물 존 및 루테늄-리치 존을 갖는다. 루테늄 존은 탄탈-리치 존과 루테늄 산화물 존 사이에 개재된다. 루테늄 산화물 존은 루테늄 존과 루테늄-리치 존 사이에 개재된다.
다마신 구조체를 제조하는 방법이 제공된다. 일 실시형태에서, 유전체 재료 내에 적어도 하나의 개구가 형성된다. 개구를 정의하는 측벽은 탄탈 및 질소-함유 제 1 배리어 층으로 코팅된다. 제 1 배리어 층이 처리되어 탄탈-리치 존 및 탄탈 질화물 존을 형성한다. 탄탈 질화물 존의 질소 함유량은 탄탈-리치 존의 질소 함유량보다 크다. 탄탈 질화물 존은 유전체 재료와 탄탈-리치 존 사이에 개재된다. 제 1 배리어 층은 루테늄-함유 제 2 배리어 층으로 코팅된다. 제 2 배리어 층은 처리되어 루테늄 산화물 존 및 루테늄 존을 형성하고, 루테늄 존은 탄탈-리치 존과 루테늄 산화물 존 사이에 개재된다. 루테늄 산화물 존은 처리되어 루테늄-리치 존을 형성하고, 루테늄 산화물 존은 루테늄 존과 루테늄-리치 존 사이에 개재된다.
도면의 간단한 설명
도 1 은 다마신 구조체의 제조 방법의 예시적인 실시형태를 설명하는 플로우차트이다.
도 2 내지 도 10 은, 코팅 및 처리 단계를 포함하는, 유전체 재료 내에 개구가 형성된 다마신 구조체를 제조하는 방법의 예시적인 실시형태의 단면도들이다.
도 11 은 제어된 분위기를 갖는 모듈러 프로세스 툴의 간략화된 개략도이다.
상세한 설명
마이크로전자 디바이스의 치수가 점점 축소됨에 따라, 다수의 트랜지스터들 사이의 신호 전파에 대한 시간 지연 (전파 지연) 은 디바이스 성능을 결정하는데 있어서 더욱 더 중요한 파라미터가 되었다. 전파 지연은 금속 상호접속 라인의 저항 (R) 과 인터레벨 (interlevel) 유전체 절연재의 커패시턴스 (C) 의 곱 (RC 지연으로도 지칭됨) 에 비례한다. 따라서, 전파 지연을 최소화하기 위해, 높은 도전성 금속 (또는 낮은 저항 금속) 과 조합하여 낮은 유전상수 재료와 절연재를 통합하는 것이 유리하다. 종래의 알루미늄 (Al) 상호접속 금속 (R=3.0~5.0μΩ·㎝) 에 대한 대안으로서, 구리 (Cu) 는 낮은 저항값 (R<2μΩ·㎝) 으로 인해 상호접속 라인용 금속으로서 상당한 관심을 받고 있다. 마찬가지로, OSG (organosilicate glass), TEOS (tetraethylorthosilicate), FSG (fluorinated silica glass) 및 탄소-도핑된 산화물과 같은 다른 유전체 재료도 또한 실리콘 산화물 (k
Figure 112012102944748-pct00001
4.0) 에 대한 대안으로서 관심을 받고 있다.
그러나, 상호접속 재료로서의 구리의 성공적인 구현 이전에, 몇몇 장애가 극복되어야만 한다. 먼저, 구리는 휘발성 부산물 (volatile by-product) 을 쉽게 형성하지 않기 때문에, 종래의 또는 서브트랙티브 (subtractive) 에칭 기술은 부적절하다. 따라서, 다마신 제조 프로세스는 패터닝된 구리 상호접속 라인을 형성하는 것이 필요하고, 사전에 패터닝된 개구 (예를 들어, 트렌치, 콘택트, 또는 비아) 에 도전성 재료를 증착시키는 것을 수반한다. 둘째로, 구리는 금속 상호접 속부를 둘러싸는 실리콘 내부 및 수많은 유전체 재료 내부에서 쉽게 확산되기 때문에, 전기적 특성의 저하 또는 단락이 발생할 수 있다. 따라서, 구리를 수반하는 임의의 다마신 프로세스는 구리 상호접속 라인을 캡슐화하기 위해 확산 배리어 층의 형성을 포함한다.
도 1 은 다마신 제조 프로세스의 예시적인 실시형태이다. 단계 (10) 에서, 유전체 층에 개구가 형성된다. 이는, 통상적으로, 포토레지스트와 같은 마스크 층의 유전체 층 상에, 트렌치, 콘택트, 또는 비아의 형상으로 개구를 패터닝함으로써 수행되고, 후속하여 습식 또는 건식 에칭이 수행된다. 유전체 재료는 로우-k 유전체 (k<3.0), 예를 들어, 2.5 미만의 k, 2.0 미만의 k, 또는 1.5 미만의 k 인 것이 바람직하다. 단계 (20) 에서, 유전체 층에 개구를 정의하는 적어도 측벽 상에 층을 형성하기 위해 배리어 재료가 증착된다. 구리 상호접속부에 인접하는 유전체 재료가 구리 상호접속부로부터 인접 유전체 재료로 확산하는 구리 원자에 의해 악영향을 받는 것으로부터 보호하기 위해 하나 이상의 배리어층을 형성할 수 있다. 예를 들어, 구리 원자의 유전체 층(들)으로의 확산은 단락을 야기하거나 또는 유전상수의 바람직하지 않은 상승을 야기할 수 있다.
적어도 하나의 배리어 층의 증착 이후에, 단계 (30) 에서, 개구 (예를 들어, 트렌치, 콘택트, 또는 비아) 의 내부 벽을 라이닝하기 위해 배리어 재료 상부에 시드층 (seed layer) 이 증착된다. 예를 들어, 구리 상호접속 재료에 대해, 양호한 접착성을 촉진하고 상호접속 라인들 사이의 양호한 전기적 접촉을 확립하는데 있어서 구리 시드층이 유리하다. 구리 시드층은 임의의 적절한 증착 기술 (예를 들어, 화학 기상 증착 (CVD) 등) 을 통해서 형성될 수 있다. 단계 (40) 에서, 개구는 벌크 금속으로 충진된다. 구리 상호접속 구조체에서, 무전해 또는 전기도금 구리 프로세스가 갭필 (gapfill) 에 이용될 수 있다.
단계 (50) 에서, 이 구조체는 임의의 적절한 기술 (예를 들어, 화학적 기계적 평탄화 (CMP) 또는 에칭-백 프로세스) 에 의해 도전성 재료의 과잉 부분을 제거하기 위해 평탄화된다.
다마신 제조 프로세스는 싱글 또는 더블 (듀얼) 다마신 프로세스 중 하나일 수 있다. 더블 (듀얼) 다마신 프로세스에 대해서는, 비아-퍼스트 (via-first) 접근방식 또는 트렌치-퍼스트 (trench-first) 접근방식 중 하나가 이용될 수 있다.
이상적으로, 확산 배리어 재료는 주변 유전체 재료로의 구리 원자의 이동을 방지할 뿐만 아니라, 임의의 금속간 화합물을 형성하지 않고 구리와의 낮은 용해도를 가질 수 있다. 예시적인 배리어 재료는 탄탈 (Ta), 탄탈 질화물 (TaN), 루테늄 (Ru), 루테늄 산화물 (RuOx) 및 그 합금을 포함한다. 확산 배리어에 대한 다른 후보 재료는 또한 크롬 (Cr), 몰리브덴 (Mo), 텅스텐 (W), 및 레늄 (Re) 을 포함할 수 있다. 예를 들어, 물리적 기상 증착법 (PVD), 원자층 증착법 (ALD) 등에 의해 배리어 재료가 코팅될 수 있다.
물리적 기상 증착법 (PVD) 은 저압 하에서 소스로부터의 원자 또는 분자의 증발을 특징으로 하는 코팅 기술이다. 이 원자 또는 분자는 소스로부터의 증발에 의해 생성되거나 또는 원자 또는 분자를 스퍼터링하기 위해 에너제틱 가스 이온 (energetic gaseous ion) 을 소스 (또는 타겟) 에 지향시킴으로써 생성될 수 있다. 이들 원자 또는 분자는 기판의 표면상에서 충돌하고 응축하여 박막을 형성한다. PVD 가 반응성 가스의 존재하에서 수행되는 경우, 화합물이 기판상에 증착된다 (예를 들어, 질소 분위기에서 Ta 의 증착은 TaN 을 형성한다). 이러한 증착 기술은 낮은 애스팩트비 (2 미만) 를 갖는 개구를 컨포멀하게 (conformally) 그리고 균일하게 코팅하는 능력을 제공한다.
트랜지스터 디바이스의 치수가 계속 축소됨에 따라, 상호접속 라인에 대한 개구 (예를 들어, 트렌치, 콘택트, 또는 비아) 의 애스펙트비는 계속 증가한다 (예를 들어, 2 초과). 더 높은 애스펙트비를 갖는 개구를 코팅하기 위해 PVD 가 이용되는 경우, 균일한 코팅 두께를 달성하는데 있어서 어려움이 발생할 수도 있다. 따라서, 고 애스펙트비 개구에 배리어 층을 증착하는데 있어서 남아있는 과제들 중 하나는 이러한 개구에 컨포멀한 배리어 층을 코팅하게 하는 능력이다.
ALD (atomic layer deposition) 는 더 큰 애스펙트비 (2 초과) 를 갖는 개구를 컨포멀하게 코팅하는 능력을 제공하는 신생 기술 (emerging technology) 이다. ALD 는, 반응물이 증착 챔버에 한 번에 단일 전구체만큼씩 도입되어, 반응하여 박막을 형성하는 자체-제한 (self-limiting) 코팅 기술이다. 제 1 전구체가 챔버내로 펄싱되어 (pulsed) 기판의 표면을 포화하고, 그후, 퍼징 가스 (purging gas) 의 도입을 통해서 임의의 과잉 전구체를 제거한다. 다음으로, 제 2 전구체가 챔버로 펄싱되어 제 1 전구체와 반응하고, 박막의 싱글 단층을 형성한다. 퍼징 가스의 도입을 통해서, 과잉 제 2 전구체 및 임의의 반응 생성물이 제거된다. 이 프로세스는 원하는 필름 두께가 달성될 때까지 원하는 횟수로 반복될 수 있다.
탄탈, 탄탈 질화물, 루테늄, 및 루테늄 산화물이 우수한 배리어 재료이지만, 배리어 층의 표면이 시드층의 증착 이전 및 시드층의 증착 도중에 산화를 방지하기 위해 적절하게 제어되지 않으면, 이용된 증착 기술에 관계없이, 이 구조체는 분리될 수도 있다. 예를 들어, 탄탈은 탄탈 산화물의 형성을 허용한다. 구리는 탄탈에 잘 부착되지만, 구리층은 탄탈 산화물 상부에 형성되는 경우 종종 분리되어, 상호접속부의 열화를 초래한다. 일단 형성되면, 탄탈 산화물은 그 금속 상태로 환원되는 것은 어렵다. 따라서, 증착 프로세스 전체에 걸쳐서, 주변의 산소에 대한 노출은 주의 깊게 제어되는 것이 매우 바람직하다.
또한, 상이한 재료의 배리어 층은 불량한 접착 특성으로 인해 시드층으로서 구리와 양립하지 않을 수도 있다. 예를 들어, 탄탈 질화물 및 루테늄 산화물은 구리의 상부 증착을 위한 접착층으로서 불량하게 기능하는 경향이 있다. 탄탈 질화물의 경우, 탄탈 질화물과 구리 모두에 접착하는 상부 탄탈층이 제공되어야만 한다. 마찬가지로, 루테늄 산화물의 경우, 루테늄 산화물 및 구리 모두에 접착하는 상부 루테늄 층이 루테늄 산화물 층 위에 형성될 수 있다.
도 2 는, 유전체 층 (70) 이 기판 (60) 상에 증착되는 상호접속 구조체의 예시적인 실시형태이다. 예를 들어, 유전체 층 (70) 은 CVD 에 의해 또는 스핀-온 기술을 이용하여 증착될 수 있다. 기판 (60) 은 단결정 실리콘 웨이퍼일 수 있다. 바람직하게, 유전체 층 (70) 은 로우-k 유전체 (k<3.0) (예를 들어, 2.5 미만의 k, 2.0 미만의 k, 또는 1.5 미만의 k) 이다. 적절한 로우-k 유전체 재료의 예는, 로우-k 특성을 강화하기 위해 포로겐 (porogen) 으로 처리된 (engineered), SiO2/실록산 유도체, 예를 들어, F- 및 C-도핑된 유기 실리케이트 유리 (OSG) 산화물, 예를 들어, FLOWFILL® (Trikon 제조), BLACK DIAMOND® (Applied Materials 제조), CORAL® (Novellus 제조), AURORA® (ASMI 제조), 유기 폴리머, 예를 들어, JSR® (JSR 제조) 및 SiLK®(Dow Chemical 제조), 또는, 메조포러스 유리 (mesoporous glass) 를 포함한다. BPSG (borophosphosilicate glass) 및 TEOS (tetraethylorthosilicate) 를 포함하는, 높은 유전상수 재료 (k=3.8~4.0) 가 콘택트 층에 또한 이용될 수 있다. 마스킹 층 (예를 들어, 포토레지스트 층) (미도시) 은 유전체 층 (70) 상부에 증착되고 패터닝되고, 그후, 에칭되어 개구 (80) 를 형성할 수 있다. 예시적인 실시형태에서, 개구 (80) 는 비아, 콘택트, 또는 트렌치일 수 있다.
도 3 에서 관찰되는 바와 같이, 제 1 배리어 층 (90) 은 유전체 층 (70) 내의 개구 (80) 의 적어도 측벽 표면상에 증착된다. 개구 (80) 가 비교적 높은 애스펙트비 (즉, 2 초과) 를 갖는 경우, 제 1 배리어 층 (90) 은 ALD 기술을 이용하여 증착되는 것이 바람직하다. 한 번에 제 1 배리어 층 재료 (90) 의 하나의 단층을 증착함으로써, ALD 는 거의 완벽한 컨포멀 막을 증착시키는 능력을 제공한다. 구리 상호접속부에 대해, 예시적인 적절한 배리어 재료는 탄탈 (Ta), 탄탈 질화물 (TaN) 또는 그 임의의 조합을 포함한다. 일 실시형태에서, TaN 은 제 1 배리어 층 (90) 으로서 약 20Å 과 약 40Å 사이의 두께로 ALD 에 의해 초기에 증착된다.
ALD 에 의해 탄탈 질화물을 증착하기 위해, 약 100mTorr 내지 약 3Torr 의 압력으로 유지된 반응 챔버내로 탄탈 전구체가 펄싱된다. 기판은 약 150℃ 내지 약 300℃ 의 온도로 가열된다. 펄스 시간은 약 100 밀리초 내지 약 3 초의 범위일 수 있어, 개구 (80) 의 적어도 측벽 표면이 탄탈 전구체의 단층으로 포화된다. 반응 챔버는 예를 들어 아르곤 가스로 퍼징되고, 그후, 탄탈 전구체와 유사한 펄스 시간을 통해 질소-함유 가스를 도입한다. 탄탈 전구체와 질소-함유 가스가 반응하여 탄탈 질화물의 원자적-박형 (atomically-thin) 컨포멀한 층을 형성한다. 반응 챔버가 불활성 가스 (예를 들어, 아르곤) 로 퍼징될 때 과잉 가스 및 반응 생성물이 제거된다. 이 사이클은, 탄탈 질화물의 적당한 두께가 달성될 때까지 반복될 수 있다. ALD 증착 기술은 열적 ALD, 플라즈마 강화 ALD, 또는 핫 필라멘트 ALD 를 포함할 수 있다. 플라즈마 강화된 ALD 는 직접 플라즈마 및 원격 플라즈마 모두를 포함할 수 있다.
탄탈 전구체의 예는 펜타키스(에틸메틸아미노)탄탈 (PEMAT), 펜타키스(디에틸아미노)탄탈 (PDEAT), 펜타키스(디메틸아미노)탄탈 (PDMAT), t-부틸이미노 트리스 (디에틸아미노)탄탈 (TBTDET), t-부틸이미노 트리-(에틸메틸아미노)탄탈 (TBTEMT), 탄탈 할라이드 (즉, 탄탈 펜타플로라이드, 탄탈 펜타클로라이드, 탄탈 펜타브로마이드) 및 그 유도체들을 포함할 수 있다. 질소-함유 가스의 예는 암모니아 또는 N,N-디메틸히드라진을 포함할 수 있다.
도 4 에 도시된 바와 같이, 탄탈 및 질소-함유 제 1 배리어 층 (90) 은 수소 환원이 수행되어 탄탈-리치 존 (90B; 즉, TaxNy, (여기서 x>y)) 및 탄탈 질화물 존 (90A) 을 생성한다. 도 4 에 도시된 바와 같이, 탄탈-리치 존 (90B) 은 탄탈 질화물 존 (90A) 위에 놓이고, 탄탈 질화물 존 (90A) 은 유전체 재료 (70) 와 탄탈-리치 존 (90B) 사이에 개재된다. 탄탈 질화물 존 (90A) 의 질소 함유량은 탄탈-리치 존 (90B) 의 질소 함유량보다 크다. 탄탈-리치 존 (90B) 은 제 1 배리어층 (90) 의 임의의 상부 금속 (예를 들어, 루테늄 또는 구리) 으로의 접착력을 개선한다. 후술하는 바와 같이, 열적 환원 (thermal reduction) 에 의해 또는 수소-함유 플라즈마에 대한 노출에 의해 수소 환원이 수행될 수 있다.
예시적인 실시형태에서, 탄탈 및 질소-함유 제 1 배리어 층 (90) 은, 탄탈 질화물에 탄탈-리치 존 (예를 들어, 탄탈-리치 존 (90B)) 을 형성하기 위한, 유도 결합형 또는 원격의 소스 (다운스트림) 플라즈마 장치에서와 같이 플라즈마 처리될 수 있다. 플라즈마 처리를 위한 프로세스 가스는, 약 100sccm 내지 약 500sccm 의 전체 가스 유속 (flow rate) 을 갖는, 수소 (H2), 암모니아 (NH3), 및 불활성 캐리어 가스 (예를 들어, 아르곤 (Ar) 또는 헬륨 (He)) 를 포함할 수 있다. 수소의 유속은 약 100sccm 내지 약 200sccm 의 범위일 수 있다. 암모니아의 유속은 약 100sccm 까지일 수 있다. 불활성 가스의 유속은 약 500sccm 까지일 수 있다. 챔버 압력은 약 5mTorr 내지 약 50mTorr 의 범위에 있을 수 있고, 웨이퍼 온도는 약 10℃ 내지 약 200℃ 의 범위에 있을 수 있다. 처리 시간은 약 15초 내지 약 150초의 범위일 수 있고, 바람직하게는 약 75초이다. 플라즈마를 생성하기 위해, 약 200W 내지 약 2000W 사이의 RF (radio-frequency) 전력이 약 2MHz 내지 약 27.3MHz 의 주파수에서 공급될 수 있다.
유도 결합형 플라즈마 장치에서 생성된 수소 또는 수소/헬륨 플라즈마에 대해, 400kHz 내지 약 27.3MHz 의 RF 주파수에서 하부 전극에 의해 웨이퍼로 약 100W 까지 RF 바이어스 전력이 공급될 수 있다. 200mm 직경 웨이퍼에 대해, 50W 까지의 바이어스 전력이 공급될 수 있다. 그러나, 스퍼터링 효과가 보다 얇은 탄탈 질화물 층에 손상을 가할 수도 있기 때문에, RF 바이어스 전력을 공급할 때, 보다 무거운 불활성 가스 (예를 들어, 아르곤) 는 이들 프로세스 가스들로부터 배제되어야만 한다.
다른 실시형태에서, 탄탈 질화물은 용량 결합형 평행판 플라즈마 장치에서 처리될 수 있다. 프로세스 가스는, 약 100sccm 내지 약 1,000sccm 범위의 전체 가스 흐름을 갖는, 수소 (H2), 암모니아 (NH3), 및 헬륨 (He) 을 포함할 수 있다. 챔버 압력은, 약 100mTorr 내지 약 500mTorr 의 범위일 수 있고, 웨이퍼 온도는 약 10℃ 내지 약 200℃ 의 범위를 가질 수 있다. 플라즈마를 생성하기 위해, 약 100W 내지 약 1,000W 사이의 RF 전력이 약 13.56MHz 내지 약 60MHz 의 주파수에서 공급될 수 있다. 약 400kHz 내지 약 2MHz 사이의 주파수에서 약 200W 까지의 RF 바이어스 전력이 공급될 수 있다. 그러나, 스퍼터링 효과가 보다 얇은 탄탈 질화물 층에 손상을 가할 수도 있기 때문에, RF 바이어스 전력을 공급할 때, 보다 무거운 불활성 가스 (예를 들어, 아르곤) 는 프로세스 가스들로부터 배제되어야만 한다.
다른 실시형태에서, 탄탈 질화물은 다운스트림, 또는 원격의 플라즈마 프로세싱 장치에서 처리될 수 있다. 이러한 처리에 대해, 프로세스 가스는 약 100sccm 내지 약 2,000sccm 의 전체 가스 흐름을 갖는 수소 (H2), 암모니아 (NH3), 헬륨 (He), 및 아르곤 (Ar) 을 포함한다. 프로세스 압력은 약 0.5Torr 내지 약 2Torr 의 범위에 있을 수 있고, 프로세스 온도는 약 20℃ 내지 약 200℃ 의 범위에 있을 수 있다. 약 500W 내지 약 2,500W 사이의 RF 전력이 약 400kHz 내지 약 2.56MHz 의 주파수에서 공급될 수 있다. 원격 플라즈마 소스로 인해, 보다 얇은 탄탈 질화물 층이 아르곤과 같은 더 무거운 엘리먼트에 의해 스퍼터링되지 않을 것이다.
배리어 층 (90) 내에 탄탈-리치 존 (90B) 이 생성되면, 상부 층이 즉시 증착되어 탄탈 산화물의 형성을 방지한다. 탄탈 산화물의 형성을 방지하기 위해, 탄탈-리치 존 (90B) 은 임의의 상부 막을 증착하기 전에 제어된 분위기 (즉, 진공 분위기와 같은 낮은 산소 환경) 에서 유지되는 것이 바람직하다.
도 5 에 도시된 바와 같이, 배리어 특성을 더 강화하기 위해 제 1 배리어 층 (90) 위에 제 2 배리어 층 (100) 이 증착될 수 있다. 예를 들어, 제 2 배리어 층 (100) 은 루테늄과 같은 산소 확산 감소 재료일 수 있다. 개구 (80) 가 비교적 높은 애스펙트비 (예를 들어, 2 초과) 를 갖는 경우, 제 2 배리어 층 (100) 은 ALD 기술을 이용하여 증착되는 것이 바람직하다. 일 실시형태에서, 루테늄은 제 2 배리어 층 (100) 으로서 약 20Å 내지 약 40Å 사이의 두께로 ALD 에 의해 초기에 증착된다.
ALD 에 의해 루테늄을 증착하기 위해, 루테늄 전구체는 약 100mTorr 내지 약 3Torr 의 압력으로 유지된 반응 챔버 내부로 펄싱된다. 기판은 약 150℃ 내지 약 300℃ 의 온도로 가열된다. 펄스 시간은 약 100 밀리초 내지 약 3 초의 범위일 수 있고, 그리하여, 기판 표면은 루테늄 전구체의 단층으로 포화된다. 반응 챔버는 불활성 가스 (예를 들어, 아르곤) 로 퍼징되고, 그후, 루테늄 전구체에 이용된 것과 유사한 펄스 시간으로 수소 (H2) 가스가 도입된다. 루테늄 전구체와 수소 가스가 반응하여 루테늄의 원자적-박형 컨포멀 층을 형성한다. 반응 챔버가 불활성 가스 (예를 들어, 아르곤) 로 퍼징될 때, 과잉 가스 및 반응 생성물은 제거된다. 그 사이클은, 적절한 루테늄 두께가 달성될 때까지 반복될 수 있다. ALD 증착 기술은 열적 ALD, 플라즈마 강화된 ALD, 또는 핫 필라멘트 ALD 를 포함할 수 있다. 플라즈마 강화된 ALD 는 직접 플라즈마 및 원격 플라즈마 모두를 포함할 수 있다. 이와 다르게, 암모니아 (NH3) 가 루테늄 전구체와 반응하기 위한 수소 소스로서 이용될 수 있다.
루테늄 전구체의 예는 비스(시클로펜타디에닐)루테늄 (RuCp2), 비스(에틸시클로펜타디에닐)루테늄 (Ru(CpEt)2) 또는 그 유도체를 포함한다.
전술한 바와 같이, 루테늄 산화물은 임의의 후속 무전해 구리 도금을 위한 불량한 촉매상태 표면이다. 그러나, 루테늄 산화물은 루테늄과 비교하여 구리 이동에 대해 강화된 확산 배리어 특성을 제공할 수 있다. 이 배리어 효과는 루테늄 산화물에서 입자 경계를 따른 구리 확산의 감소 때문이다. 따라서, 하나의 접근방식은 제 2 배리어 층 (100) 으로서 Ru/RuOx/Ru 복합체의 형성이다. 이 복합체 제 2 배리어 층 (100) 은: (i) 하부 Ta 또는 TaN 층의 산화로부터의 보호; (ⅱ) 루테늄 산화물의 개선된 배리어 특성; 및 (ⅲ) 후속 무전해 구리 도금을 위한 적절한 촉매상태 표면을 제공한다.
루테늄-함유 제 2 배리어 층 (100) 에는 산화가 수행되어 루테늄 산화물 존 (100B) 및 루테늄 존 (100A) 을 생성한다. 도 6 에 도시된 바와 같이, 루테늄 산화물 존 (100B) 은 루테늄 존 (100A) 위에 놓이고, 루테늄 존 (100A) 은 제 1 배리어 층 (90) 과 루테늄 산화물 존 (100B) 사이에 개재된다. 루테늄 산화물 존 (100B) 의 두께는, 예를 들어, 약 10Å 내지 약 15Å 의 범위일 수 있다. 전술한 바와 같이, 루테늄 산화물 존 (100B) 은 구리 이동에 대한 확산 배리어 특성을 개선한다.
예시적인 실시형태에서, 산화 기술은 개별적으로 또는 조합된 형태의 산소 (O2), 일산화탄소 (CO), 또는 이산화탄소 (CO2) 프로세스 가스를 통한 플라즈마 처리를 포함한다. 선택적으로, 하나 이상의 희석 가스 (예를 들어, 헬륨 또는 아르곤) 가 프로세스 가스에 첨가될 수도 있다. 플라즈마 프로세싱은 유도 결합형 플라즈마 장치, 용량 결합형 플라즈마 장치, 다운스트림 플라즈마 장치 등에서 수행될 수 있다.
도 7 을 참조하여, 그후, 루테늄 산화물 존 (100B) 은 수소 환원을 수행하여 루테늄-리치 존 (100C) 을 생성한다. 수소 환원의 결과로서, 루테늄 산화물 존 (100B) 의 산소 함유량은 루테늄-리치 존 (100C) 의 산소 함유량보다 크다. 일 실시형태에서, 루테늄-리치 존 (100C) 은 루테늄 금속일 수 있다. 도시된 바와 같이, 루테늄-리치 존 (100C) 은 루테늄 산화물 존 (100B) 위에 놓이고, 루테늄 산화물 존 (100B) 은 루테늄 존 (100A) 과 루테늄-리치 존 (100C) 사이에 개재된다. 예를 들어, 루테늄-리치 존 (100C) 의 두께는 대략 단층 두께일 수 있다. 다른 실시형태에서, 루테늄-리치 존 (100C) 의 두께는 약 5Å 내지 약 10Å 의 범위일 수 있다. 전술한 바와 같이, 루테늄-리치 존 (100C) 은 후속 무전해 구리 도금을 위해 적절한 촉매상태 표면을 제공한다.
루테늄 산화물의 수소 환원은 열적 환원에 의해 수행될 수 있고, 또는 탄탈 질화물의 환원에 대한 전술한 방법과 유사하게 수소-함유 플라즈마에 대한 노출에 의해 수행될 수 있다. 예시적인 실시형태에서, 수소 환원 기술은, 개별적으로 또는 조합된 형태의 수소 (H2), 암모니아 (NH3), 헬륨 (He), 또는 아르곤 (Ar) 프로세스 가스를 통한 플라즈마 처리를 포함한다. 플라즈마 프로세싱이 유도 결합형 플라즈마 장치, 용량 결합형 플라즈마 장치, 다운스트림 플라즈마 장치 등에서 수행될 수 있다.
도 8 에 도시된 바와 같이, 구리 시드층 (110) 은 루테늄-리치 존 (100C) 위 에 증착된다. 예를 들어, 구리 시드층 (110) 은 PVD 또는 무전해 도금 기술을 이용하여 증착될 수 있다. 구리 시드층 (110) 의 증착 이후에, 도 9 에 도시된 바와 같이, 개구 (80) 는 벌크 금속 (120) 으로 충진되어 상호접속 구조체를 형성한다. 예를 들어, 개구는 구리 무전해 또는 전기도금 프로세스를 이용하여 구리 벌크 금속 (120) 으로 충진될 수 있다. 무전해 구리 도금 프로세스에 대한 상세한 설명은, 발명의 명칭이 "System and Method for Forming Patterned Copper Lines Through Electroless Copper Plating" 인, 공동-양도된 U.S 특허 출원 제11/461,415호에 설명되고, 그 전체 내용은 본 명세서에 참조로서 통합된다.
도 10 에 도시된 바와 같이, 임의의 과잉 벌크 금속 (120) 은 평탄화 기술 (예를 들어, 화학적 기계적 연마 (CMP) 또는 에칭-백) 에 의해 제거될 수 있다.
전술한 바와 같이, 산화에 대한 제어를 포함하는 계면 특성에 대한 제어는 고품질의 계면의 형성을 허용한다. 계면 품질을 제어하기 위한 하나의 접근방식은 증착되어지는 (as-deposited) 또는 처리되어지는 (as-treated) 층을 산소-함유 환경에 노출하는 것을 감소시키는 것이다. 일 접근방식은 분위기-제어된 모듈러 프로세스 툴 내에서 모든 프로세스 단계 (예를 들어, ALD, 플라즈마 처리) 를 수행하여 산소 노출을 최소화하는 것이다. 또한, 이 모듈러 프로세스 툴은, 발명의 명칭이 "Process and System for Engineering a Barrier Surface for Copper Deposition" 인, 공동-양도된 U.S 특허 출원 제11/461,415호 및 공동-양도된 U.S 특허 출원 제11/514,038호에 설명되고, 그 내용 전체가 본 명세서에 참조로서 통합된다.
도 11 은 프로세싱 챔버 (220 내지 270) 에 접속된 트랜스퍼 챔버 (210) 를 갖는 모듈러 프로세스 툴 (200) 의 간략화된 개략도를 도시한다. 트랜스퍼 챔버 (210) 는 제어된 분위기를 갖고, 저압에서 유지될 수 있고 또는 불활성 가스 (예를 들어, 헬륨, 질소 또는 아르곤) 로 충진될 수 있다. 또한, 트랜스퍼 챔버 (210) 에는 각각의 프로세싱 챔버들 (220 내지 270) 사이에서 웨이퍼를 이송하기 위한 로봇이 장착될 수 있다. 일 예시적인 구성에서, 프로세싱 챔버 (220 내지 270) 는: (ⅰ) 탄탈 질화물의 증착을 위한 ALD 반응기 (220); (ⅱ) 수소 환원 챔버 (230) (즉, 열적 환원 챔버 또는 수소-함유 플라즈마 프로세싱 장치); (ⅲ) 루테늄의 증착을 위한 ALD 반응기 (240); (ⅳ) 산화 챔버 (250) (즉, 산소-함유 플라즈마 프로세싱 장치); (ⅴ) 구리 시드층 증착 챔버 (260) (즉, PVD) 및/또는 (ⅵ) 무전해 구리 도금 시스템 (270) 일 수 있다. 모듈러 프로세스 툴 (200) 을 이용하여 인시츄 (in situ) 로 도 2 내지 도 9 에 설명된 프로세스 단계를 구현함으로써, 증착되어지는 (as-deposited) 또는 처리되어지는 (as-treated) 금속의 산화를 최소화할 수 있다. 따라서, 반도체 기판을 진공 환경에 유지하면서 모든 코팅 및 처리가 수행된다.
전술한 바와 같이, 탄탈-함유 배리어 층의 산화는 상부 금속의 불량한 접착으로 인해 해로울 수 있다. 또한, 탄탈 산화물은 그 금속 상태로 환원되는 것은 어렵다. 예를 들어, ALD 반응기 (220) 에서 탄탈 및 질소-함유 제 1 배리어 층 (90) 을 형성한 후, 웨이퍼는 트랜스퍼 챔버 (210) 의 로봇 시스템을 이용하여 수소 환원을 위해 별도의 챔버에 이송될 수 있다. 이와 마찬가지로, 수소 환원 챔버 (230) 내에서 탄탈-리치 존 (90B) 을 생성하기 위해 탄탈 및 질소-함유 제 1 배리어 층 (90) 에 수소 환원이 수행된 후, 웨이퍼는 상부 루테늄 배리어 층 (100) 의 증착을 위해 ALD 반응기 (240) 에 이송될 수 있다. 기판이 트랜스퍼 챔버 (210) 를 통해서 이송되기 때문에, 제어된 분위기 (예를 들어, 저-산소 함유량) 하에서, 탄탈 및 질소-함유 제 1 배리어 층 (90) 및 탄탈-리치 존 (90B) 의 산화는 최소화될 수 있다.
본 발명은 그 구체적인 실시형태를 참조하여 상세하게 설명되었지만, 첨부된 청구범위의 범위를 벗어나지 않고 다양한 변화 및 변형이 이루어질 수 있고 동등물이 채용될 수 있다는 것이 당업자에게는 명백하다.

Claims (20)

  1. 적어도 하나의 개구를 갖는 유전체 재료의 층;
    상기 개구를 정의하는 측벽들 상의 제 1 배리어 층; 및
    상기 제 1 배리어 층 위에 놓이고, 루테늄으로 구성되는 루테늄 존, 루테늄 산화물 존 및 루테늄-리치 존을 갖는 루테늄 및 산소-함유 제 2 배리어 층을 포함하며,
    상기 루테늄 존은 상기 제 1 배리어 층과 상기 루테늄 산화물 존 사이에 개재되고, 상기 루테늄 산화물 존은 상기 루테늄 존과 상기 루테늄-리치 존 사이에 개재되는, 상호접속 구조체.
  2. 제 1 항에 있어서,
    상기 제 2 배리어 층 위의 시드층 (seed layer) 및 상기 개구 전체를 충진하는 벌크 금속을 더 포함하고,
    상기 시드층 및 상기 벌크 금속은 구리 또는 구리 합금으로 구성되는, 상호접속 구조체.
  3. 제 1 항에 있어서,
    (ⅰ) 상기 루테늄-리치 존은 루테늄으로 이루어지거나;
    (ⅱ) 상기 개구는 트렌치, 콘택트 또는 비아이거나;
    (ⅲ) 상기 유전체 재료는 로우-k 유전체 또는 하이-k (higher-k) 유전체이거나;
    (ⅳ) 상기 상호접속 구조체는 듀얼 다마신 구조체 또는 콘택트 구조체이거나; 또는
    (ⅴ) 상기 제 1 배리어 층의 재료는 크롬, 몰리브덴, 텅스텐, 탄탈, 또는 레늄인, 상호접속 구조체.
  4. 적어도 하나의 개구를 갖는 유전체 재료의 층;
    탄탈-리치 존 및 탄탈 질화물 존을 갖는, 상기 개구를 정의하는 측벽들 상의 탄탈 및 질소-함유 제 1 배리어 층; 및
    상기 제 1 배리어 층 위에 놓이고, 루테늄 존, 루테늄 산화물 존 및 루테늄-리치 존을 갖는 루테늄 및 산소-함유 제 2 배리어 층을 포함하며,
    상기 탄탈 질화물 존의 질소 함유량은 상기 탄탈-리치 존의 질소 함유량보다 크고, 상기 탄탈 질화물 존은 상기 유전체 재료와 상기 탄탈-리치 존 사이에 개재되고,
    상기 루테늄 존은 상기 탄탈-리치 존과 상기 루테늄 산화물 존 사이에 개재되고, 상기 루테늄 산화물 존은 상기 루테늄 존과 상기 루테늄-리치 존 사이에 개재되는, 상호접속 구조체.
  5. 제 4 항에 있어서,
    상기 제 2 배리어 층 위의 시드층 및 상기 개구 전체를 충진하는 벌크 금속을 더 포함하고,
    상기 시드층 및 상기 벌크 금속은 구리 또는 구리 합금으로 구성되는, 상호접속 구조체.
  6. 제 4 항에 있어서,
    (ⅰ) 상기 개구는 트렌치, 콘택트 또는 비아이거나; 또는
    (ⅱ) 상기 유전체 재료는 로우-k 유전체 또는 하이-k (higher-k) 유전체인, 상호접속 구조체.
  7. 제 6 항에 있어서,
    상기 로우-k 유전체 재료는 OSG (organosilicate glass), FSG (fluorinated silica glass), 탄소-도핑된 산화물, 메조포러스 유리 (mesoporous glass), 또는 유기 폴리머인, 상호접속 구조체.
  8. 제 6 항에 있어서,
    상기 하이-k 유전체 재료는 BPSG (borophosphosilicate glass) 또는 TEOS (tetraethylorthosilicate) 인, 상호접속 구조체.
  9. 제 6 항에 있어서,
    (ⅰ) 상기 루테늄-리치 존은 루테늄으로 이루어지거나;
    (ⅱ) 상기 제 1 배리어 층은 20Å 내지 40Å 범위의 두께를 갖거나;
    (ⅲ) 상기 탄탈-리치 존은 5Å 내지 15Å 범위의 두께를 갖거나;
    (ⅳ) 상기 제 2 배리어 층은 20Å 내지 40Å 범위의 두께를 갖거나;
    (ⅴ) 상기 루테늄 산화물 존은 5Å 내지 15Å 범위의 두께를 갖거나;
    (ⅵ) 상기 루테늄-리치 존은 단층의 두께를 갖거나 또는 5Å 내지 10Å 범위의 두께를 갖거나; 또는
    (ⅶ) 상기 상호접속 구조체는 듀얼 다마신 구조체인, 상호접속 구조체.
  10. 반도체 기판상에 다마신 구조체를 제조하는 방법으로서,
    유전체 재료에 적어도 하나의 개구를 형성하는 단계;
    상기 개구를 정의하는 측벽들을 탄탈 및 질소-함유 제 1 배리어 층으로 코팅하는 단계;
    탄탈-리치 존 및 탄탈 질화물 존을 형성하기 위해 상기 제 1 배리어 층을 처리하는 단계;
    상기 제 1 배리어 층을 루테늄-함유 제 2 배리어 층으로 코팅하는 단계;
    루테늄 산화물 존 및 루테늄 존을 형성하기 위해 상기 제 2 배리어 층을 처리하는 단계; 및
    루테늄-리치 존을 형성하기 위해 상기 루테늄 산화물 존을 처리하는 단계를 포함하며,
    상기 탄탈 질화물 존의 질소 함유량은 상기 탄탈-리치 존의 질소 함유량보다 크고, 상기 탄탈 질화물 존은 상기 유전체 재료와 상기 탄탈-리치 존 사이에 개재되고,
    상기 루테늄 존은 상기 탄탈-리치 존과 상기 루테늄 산화물 존 사이에 개재되고,
    상기 루테늄 산화물 존은 상기 루테늄 존과 상기 루테늄-리치 존 사이에 개재되는, 다마신 구조체의 제조 방법.
  11. 제 10 항에 있어서,
    상기 제 2 배리어 층 위에 구리 시드층을 코팅하는 단계, 상기 개구를 구리로 충진하는 단계, 및 상기 유전체 재료의 상부 표면을 평탄화하는 단계를 더 포함하는, 다마신 구조체의 제조 방법.
  12. 제 10 항에 있어서,
    상기 제 1 배리어 층의 측벽들은 원자층 증착법 (ALD; atomic layer deposition), 열적 ALD (thermal ALD), 플라즈마 강화된 ALD (plasma enhanced ALD), 또는 핫 필라멘트 ALD (hot filament ALD) 에 의해 코팅되는, 다마신 구조체의 제조 방법.
  13. 제 12 항에 있어서,
    상기 ALD 는 펜타키스(에틸메틸아미노)탄탈 (PEMAT), 펜타키스(디에틸아미노)탄탈 (PDEAT), 펜타키스(디메틸아미노)탄탈 (PDMAT), t-부틸이미노 트리스(디에 틸아미노)탄탈 (TBTDET), t-부틸이미노 트리-(에틸메틸아미노)탄탈 (TBTEMT) 및 탄탈 할라이드로 이루어진 군으로부터 선택된 탄탈 전구체 (tantalum precursor) 를 사용하여 수행되는, 다마신 구조체의 제조 방법.
  14. 제 10 항에 있어서,
    상기 제 1 배리어 층을 처리하는 단계는, 수소 환원에 의해 또는 열적 수소 환원에 의해 또는 수소-함유 플라즈마에 대한 노출에 의해 수행되는, 다마신 구조체의 제조 방법.
  15. 제 14 항에 있어서,
    상기 수소-함유 플라즈마는, 유도 결합형 플라즈마 장치, 용량 결합형 플라즈마 장치, 또는 다운스트림 플라즈마 프로세싱 장치에서 생성되는, 다마신 구조체의 제조 방법.
  16. 제 10 항에 있어서,
    상기 제 2 배리어 층은 원자층 증착법 (ALD), 열적 ALD, 플라즈마 강화된 ALD, 또는 핫 필라멘트 ALD 에 의해 형성되는, 다마신 구조체의 제조 방법.
  17. 제 16 항에 있어서,
    상기 ALD 는 비스(시클로펜타디에닐)루테늄 (RuCp2) 또는 비스(에틸시클로펜타디에닐)루테늄 (Ru(CpEt)2) 전구체를 사용하여 수행되는, 다마신 구조체의 제조 방법.
  18. 제 10 항에 있어서,
    상기 루테늄 산화물 존 및 루테늄 존을 형성하기 위해 상기 제 2 배리어 층을 처리하는 단계는, 산소-함유 플라즈마에 대한 노출에 의해 수행되는, 다마신 구조체의 제조 방법.
  19. 제 10 항에 있어서,
    상기 루테늄-리치 존을 형성하기 위해 상기 루테늄 산화물 존을 처리하는 단계는, 수소 환원에 의해 또는 열적 수소 환원에 의해 또는 수소-함유 플라즈마에 대한 노출에 의해 수행되는, 다마신 구조체의 제조 방법.
  20. 제 10 항에 있어서,
    상기 반도체 기판을 진공 환경에 유지하면서, 모든 코팅 및 처리가 개별적인 챔버에서 인시츄 (in situ) 로 수행되는, 다마신 구조체의 제조 방법.
KR1020097014601A 2006-12-14 2007-12-05 상호접속 구조체 및 다마신 구조체의 제조 방법 KR101468241B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/638,413 2006-12-14
US11/638,413 US8026605B2 (en) 2006-12-14 2006-12-14 Interconnect structure and method of manufacturing a damascene structure

Publications (2)

Publication Number Publication Date
KR20090094369A KR20090094369A (ko) 2009-09-04
KR101468241B1 true KR101468241B1 (ko) 2014-12-03

Family

ID=39512029

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014601A KR101468241B1 (ko) 2006-12-14 2007-12-05 상호접속 구조체 및 다마신 구조체의 제조 방법

Country Status (6)

Country Link
US (2) US8026605B2 (ko)
KR (1) KR101468241B1 (ko)
CN (1) CN101558476B (ko)
SG (1) SG177215A1 (ko)
TW (1) TWI478281B (ko)
WO (1) WO2008073245A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4931173B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US7879720B2 (en) * 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
JP2010153487A (ja) * 2008-12-24 2010-07-08 Panasonic Corp 半導体装置及びその製造方法
CN101894750A (zh) * 2010-05-28 2010-11-24 上海集成电路研发中心有限公司 干法刻蚀TaN电极的方法
JP5826698B2 (ja) * 2011-04-13 2015-12-02 株式会社アルバック Ni膜の形成方法
US8946083B2 (en) * 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
TWI633624B (zh) * 2011-12-01 2018-08-21 應用材料股份有限公司 用於銅阻障層應用之摻雜的氮化鉭
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
CN102623437B (zh) * 2012-04-06 2017-05-31 上海集成电路研发中心有限公司 硅通孔结构及其制造方法
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
CN103295956A (zh) * 2013-05-25 2013-09-11 复旦大学 一种利用等离子体增强原子层淀积工艺制备超薄钌薄膜的方法
US9054163B2 (en) 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
CN105742259A (zh) * 2014-12-09 2016-07-06 中芯国际集成电路制造(上海)有限公司 一种焊盘结构及其制备方法
CN107112277B (zh) * 2014-12-24 2021-03-12 英特尔公司 将过孔与密集间距金属互连层的顶和底自对准的结构和方法
CN109904133A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US11270911B2 (en) 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
CN113782430A (zh) * 2020-06-09 2021-12-10 盛美半导体设备(上海)股份有限公司 去除阻挡层的方法
US11410881B2 (en) * 2020-06-28 2022-08-09 Applied Materials, Inc. Impurity removal in doped ALD tantalum nitride
US20220246534A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance copper interconnects
TWI818419B (zh) * 2022-01-21 2023-10-11 群創光電股份有限公司 電子裝置的封裝結構的製造方法
WO2024044149A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. Tantalum doped ruthenium layers for interconnects

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6608383B2 (en) * 1997-12-24 2003-08-19 Sharp Kabushiki Kaisha Semiconductor device including capacitor with lower electrode including iridium and iridium oxide layers
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5313089A (en) * 1992-05-26 1994-05-17 Motorola, Inc. Capacitor and a memory cell formed therefrom
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20070048447A1 (en) 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7592259B2 (en) 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US8241701B2 (en) 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20050274622A1 (en) 2004-06-10 2005-12-15 Zhi-Wen Sun Plating chemistry and method of single-step electroplating of copper on a barrier metal
US20050274621A1 (en) 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7186446B2 (en) 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050206000A1 (en) 2004-03-19 2005-09-22 Sanjeev Aggarwal Barrier for copper integrated circuits
US7041596B1 (en) * 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US20060102895A1 (en) 2004-11-16 2006-05-18 Hendrix Bryan C Precursor compositions for forming tantalum-containing films, and tantalum-containing barrier films and copper-metallized semiconductor device structures
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
US20060162658A1 (en) 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060246699A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060251872A1 (en) 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7752996B2 (en) 2006-05-11 2010-07-13 Lam Research Corporation Apparatus for applying a plating solution for electroless deposition
US7615486B2 (en) 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7811423B2 (en) 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
US7749893B2 (en) 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20080152823A1 (en) 2006-12-20 2008-06-26 Lam Research Corporation Self-limiting plating method
US7521358B2 (en) 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US8058164B2 (en) 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US8673769B2 (en) 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6608383B2 (en) * 1997-12-24 2003-08-19 Sharp Kabushiki Kaisha Semiconductor device including capacitor with lower electrode including iridium and iridium oxide layers
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture

Also Published As

Publication number Publication date
KR20090094369A (ko) 2009-09-04
US20110306203A1 (en) 2011-12-15
TW200834815A (en) 2008-08-16
CN101558476A (zh) 2009-10-14
WO2008073245A1 (en) 2008-06-19
US20080142971A1 (en) 2008-06-19
SG177215A1 (en) 2012-01-30
US8026605B2 (en) 2011-09-27
CN101558476B (zh) 2014-08-20
TWI478281B (zh) 2015-03-21

Similar Documents

Publication Publication Date Title
KR101468241B1 (ko) 상호접속 구조체 및 다마신 구조체의 제조 방법
US11587829B2 (en) Doping control of metal nitride films
US6958296B2 (en) CVD TiSiN barrier for copper integration
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6524952B1 (en) Method of forming a titanium silicide layer on a substrate
US7670944B2 (en) Conformal lining layers for damascene metallization
KR102036245B1 (ko) 구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물
KR100396891B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20060281299A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US10665542B2 (en) Cobalt manganese vapor phase deposition
KR20030059270A (ko) 듀얼 다마신 메탈 배선화를 위한 최적화된 라이너
US20060040490A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
JP2023516861A (ja) 二元金属ライナ層
KR100891524B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171109

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181108

Year of fee payment: 5