JP2024508912A - サブトラクティブ金属及びサブトラクティブ金属半導体 - Google Patents

サブトラクティブ金属及びサブトラクティブ金属半導体 Download PDF

Info

Publication number
JP2024508912A
JP2024508912A JP2023553597A JP2023553597A JP2024508912A JP 2024508912 A JP2024508912 A JP 2024508912A JP 2023553597 A JP2023553597 A JP 2023553597A JP 2023553597 A JP2023553597 A JP 2023553597A JP 2024508912 A JP2024508912 A JP 2024508912A
Authority
JP
Japan
Prior art keywords
layer
etch stop
metal
metal layer
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023553597A
Other languages
English (en)
Inventor
ホー レン,
ハオ ジャン,
シー イウ,
メフル ビー. ナイク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024508912A publication Critical patent/JP2024508912A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本開示の実施形態は、概して、サブトラクティブ金属、サブトラクティブ金属半導体構造、サブトラクティブ金属相互接続、並びにこのような半導体構造及び相互接続を形成するためのプロセスに関する。一実施形態では、半導体構造を製造するプロセスが提供される。該プロセスは、半導体構造上でガス抜き処理を実施すること、及び半導体構造上にライナ層を堆積することを含む。該プロセスは、半導体構造上でスパッタ処理を実施すること、及び物理的気相堆積によってライナ層上に金属層を堆積することをさらに含み、ライナ層はTi、Ta、TaN、又はそれらの組合せを含み、金属層の抵抗率は約30μΩ・cm以下である。【選択図】図3B

Description

本開示の実施形態は、概して、サブトラクティブ金属、サブトラクティブ金属半導体構造、サブトラクティブ金属相互接続、及びこのような半導体構造及び相互接続を形成するためのプロセスに関する。
相互接続は、回路要素を電気的に接続する構造である。従来、オンチップ相互接続は、デバイス構造のさまざまな層を貫通して開孔が生成されるダマシンプロセスによって製造され、開孔は銅などの導電性材料で満たされ、層間の相互接続、及び個々の層に位置するデバイスの特徴間の相互接続が形成される。しかしながら、銅ダマシンプロセスは、50nm未満の特徴サイズを有するチップには実用的ではない可能性がある。例えば、粒界散乱に起因して線幅が狭くなると金属の抵抗が増加し、細いラインのCu相互接続ではエレクトロマイグレーションのリスクが増加する。この課題を克服するために、タングステン(W)及び他の金属相互接続を含むサブトラクティブ金属が提案されている。このような相互接続の製造は、通常、金属エッチング停止/ライナ層(例えば、TiN)上にW層を堆積し、続いてW層とTiN層とをサブトラクティブ的にエッチングすること、新たに形成された金属トレンチに誘電体材料を充填することを含む。しかしながら、TiN上に堆積されるW及び他の金属は、例えば40μΩ・cm以上など、抵抗率
が高くなる。
改善されたサブトラクティブ金属半導体構造、サブトラクティブ金属相互接続、及びそれらを形成するためのプロセスが必要とされている。
本開示の実施形態は、概して、サブトラクティブ金属、サブトラクティブ金属半導体構造、サブトラクティブ金属相互接続、並びにこのような半導体構造及び相互接続を形成するためのプロセスに関する。
一実施形態では、半導体構造を製造するプロセスが提供される。該プロセスは、半導体構造上でガス抜き処理を実施すること、及び半導体構造上にライナ層を堆積することを含む。該プロセスは、半導体構造上でスパッタ処理を実施すること、及び物理的気相堆積によってライナ層上に金属層を堆積することをさらに含み、ここで、ライナ層はTi、Ta、TaN、又はそれらの組合せを含み、金属層の抵抗率は約30μΩ・cm以下である。
別の実施形態では、半導体構造を製造するプロセスが提供される。該プロセスは、半導体構造上でガス抜き処理を実施すること、及びガス抜き処理の後に物理的気相堆積によって半導体構造上にエッチング停止層を堆積することを含む。該プロセスは、半導体構造上でスパッタ処理を実施すること、及びスパッタ処理の実施後に物理的気相堆積によって半導体構造上に金属層を堆積することをさらに含む。
別の実施形態では、相互接続構造を製造するプロセスが提供される。該プロセスは、半導体構造上でガス抜き処理を実施することを含み、該半導体構造は誘電体材料とビアホールとを含み、該ビアホールは金属材料で少なくとも部分的に充填される。該プロセスは、ガス抜き処理後に化学気相堆積によって半導体構造上にエッチング停止層を堆積することをさらに含む。該プロセスは、エッチング停止層の堆積後に物理的気相堆積によって半導体構造上に金属層を堆積して相互接続構造を形成することをさらに含む。
本開示の上記特徴を詳細に理解することができるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、添付の図面は例示的な実施形態を示しているにすぎず、したがって、その範囲を限定するとみなすべきではなく、他の等しく有効な実施形態も許容されうることに留意されたい。
本開示の少なくとも1つの実施形態による、本明細書に記載される1つ以上の処理の実施に有用な物理的気相堆積(PVD)チャンバの一例を示す図 本開示の少なくとも1つの実施形態による、本明細書に記載される1つ以上の処理の実施に有用なクラスタツールの一例を示す図 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による、エッチング停止層上に低抵抗金属層を形成するための例示的なプロセスの選択された処理を示すフローチャート 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による、エッチング停止層上に低抵抗金属層を形成するための例示的なプロセスの選択された処理を示すフローチャート 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による、多層相互接続構造を形成するための例示的なプロセスの選択された処理を示すフローチャート 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による、多層相互接続構造を形成するための例示的なプロセスの選択された処理を示すフローチャート 本開示の少なくとも1つの実施形態による例示的な半導体構造の断面図 本開示の少なくとも1つの実施形態による、多層相互接続構造を形成するための例示的なプロセスの選択された処理を示すフローチャート
理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。一実施形態の要素及び特徴は、さらなる記載がなくとも、他の実施形態に有益に組み込むことができることが想定されている。
本開示の実施形態は、概して、サブトラクティブ金属、サブトラクティブ金属半導体構造、サブトラクティブ金属相互接続、並びにこのような半導体構造及び相互接続を形成するためのプロセスに関する。本発明者らは、例えば、その上に低抵抗率の金属層を能動的に形成することができる、新しい改善されたエッチング停止材料/ライナ材料を見出した。本発明者らはまた、その上に形成された金属層が低い抵抗率を有するように、従来のエッチング停止材料/ライナ材料(例えば、TiN)の1つ以上の特性を変更することができる、新しい改善されたプロセスも見出した。本発明者らはまた、その上に形成された金属層が低い抵抗率を有するように、エッチング停止ライナ材料の選択的堆積を可能にする、新しい改善されたプロセスも見出した。
ライン抵抗及びビア抵抗は、相互接続にとって重要な特性である。上述したように、サブトラクティブプロセスを使用して小さい特徴サイズの相互接続を形成するための従来の材料は、高すぎる抵抗率を示す。加えて、このようなサブトラクティブプロセスに有用な金属エッチング停止材料/ライナ材料は、層間剥離を避けるために誘電体材料と半導体構造の金属材料との間の接着性を確保する必要があり、また、エッチングが下層のビア及び/又は半導体構造の他の特徴に到達するのを十分に防止する必要がある。本明細書に記載される実施形態は、材料がこれら及び他の要件を満たすことを可能にする。
図1Aは、本明細書に記載される1つ以上の処理の実施に有用な処理チャンバ50の一例である。処理チャンバ50は、中心軸54の周りに配置された真空チャンバ52を含む。スパッタリングされた金属の供給源を提供するターゲット56が、中心軸54上にあり、アイソレータ58を通じて支持されている。アイソレータ58は、ターゲット56を真空チャンバ52に対して密閉し、電気的に接地された真空チャンバ52からターゲット56を電気的に絶縁する。DC電源60は、接地された真空チャンバ52対してターゲット56に負のバイアスをかけ、プラズマ処理ガスを励起してプラズマにする。プラズマ処理ガスは、He、Ne、Ar、Kr、Xe、N、又はそれらの組合せなどの任意の適切な非反応性ガスでありうる。プラズマ処理ガスは、ガス源62からマスフローコントローラ64を介して真空チャンバ52内に供給される。ターゲット電力がプラズマ処理ガスを励起してプラズマにし、プラズマのイオンがターゲット56に向かって加速され、そこから金属原子がスパッタリングされる。プラズマの密度は、反対の磁極の外側磁極70によって囲まれた内側磁極68を有するマグネトロン66を配置することによって増加させることができる。磁極68、70は、真空チャンバ52内にターゲット56の面に平行に磁場を投射して電子を捕捉し、それによりプラズマ密度と結果として生じるスパッタリング速度を増大させる。磁極68、70は、中心軸54に沿って延びるシャフト74に接続されたアーム72上に支持されている。モータ76がシャフト74及びマグネトロン66を中心軸54の周りで回転させ、例えば方位角の均一性を提供する。
真空チャンバ52内のペデスタル80が、ターゲット56に対向して基板82を支持する。RF電源84は、容量結合回路86を介してペデスタル80にバイアスをかける。真空チャンバ52内のプラズマ存在下でのRFバイアスにより、ペデスタル80上に負のDC自己バイアスが発生し、その結果、スパッタされた金属イオンが基板82に向かって加速され、それらの軌道が基板82に形成された高アスペクト比の穴の奥深くに進入する。
処理チャンバ50はクラスタツールの一部であってもよい。クラスタツールは、少なくとも第1のチャンバと中央移送チャンバとを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復搬送することができるロボットを格納することができる。移送チャンバは、通常、減圧条件で維持され、基板をあるチャンバから別のチャンバへ、及び/又はクラスタツールの前端に配置されたロードロックチャンバへと往復させる中間ステージを提供する。本開示に適合しうるクラスタツールの一例は、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能なEndura(登録商標)クラスタツールである。しかしながら、チャンバの厳密な配置及び組合せは、本明細書に記載されたプロセスの特定の工程を実行する目的で変更することができる。使用することができる他の処理チャンバには、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、及び/又は他の基板処理が含まれる。クラスタツール上のチャンバ内でプロセスを実施することにより、後続の膜を堆積する前に酸化することなく、空気中の不純物による基板の表面汚染を回避することができる。
図1Bは、本明細書に記載されるプロセスの1つ以上の処理の実施に用いることができるクラスタツール100の一例である。クラスタツール100は、複数の側面を備えた中央移送ステーション121、131を含む。ロボット125、135は、中央移送ステーション121、131内に位置づけられ、処理チャンバ102、104、106、108、110、112、114、116、及び118と移送チャンバ122及び124との間でロボットブレードを移動させるように構成される。処理チャンバ102、104、106、108、110、112、114、116、及び118は、隣接する処理ステーションから隔離された、別個の処理領域を提供する。クラスタツール100は、中央移送ステーションに接続された、1つ以上のPVDチャンバ、1つ以上のCVDチャンバ、及び/又は他のチャンバを含むことができる。処理チャンバ102、104、106、108、110、112、114、116、及び118のうちの少なくとも1つは処理チャンバ50である。ファクトリインターフェース150がロードロックチャンバ160、162に接続される。ファクトリインターフェース150は、ローディングチャンバ154とアンローディングチャンバ156とを含む。ロボット152は、ローディングチャンバ154からロードロックチャンバ160へと、及びロードロックチャンバ162からアンローディングチャンバ156へと基板を移送する。
コントローラ190は、ロボット125、135、並びに処理チャンバ102、104、106、108、110、112、114、116、及び118と通信し、これらを制御する。コントローラ190は、中央処理装置(CPU)192、メモリ194、入力/出力(I/O)196、及びサポート回路198を含むコンピュータでありうる。コントローラ190のメモリ194又はコンピュータ可読媒体は、非一時的メモリ(例えば、ランダムアクセスメモリ(RAM))、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク又はデジタルビデオディスク)、フラッシュドライブ、若しくはローカル又は遠隔の任意の他の形態のデジタルストレージなどの容易に入手可能なメモリのうちの1つ以上でありうる。メモリ194は、クラスタツール100のパラメータ及び構成要素を制御するためにプロセッサ(例えば、CPU192)によって動作可能な命令セットを保持することができる。サポート回路198は、従来の方法でプロセッサを支援するためにCPU192に接続される。本明細書に記載されるプロセスの1つ以上の動作は、プロセッサによって実行されると該プロセッサに本明細書に記載される方法でクラスタツール100又は個々の処理ユニットの動作を制御させるソフトウェアルーチンとしてメモリ194に格納することができる。
本開示の実施形態は、概して、新しい改善されたライナ層、及びこのようなライナ層を形成するためのプロセスに関する。図2は、基板202の上にライナ層206が配置された半導体構造200を示している。基板202は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。半導体構造200は、反応性イオンエッチング(RIE)プロセスで使用して、相互接続構造を形成するときに金属層210の少なくとも一部を除去することができる。
幾つかの実施形態では、ライナ層206は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含む。少なくとも1つの実施形態では、ライナ層206は、約50Å以下、例えば約30Å以下、例えば約25Å以下、例えば約20Å以下、例えば約15Å以下、例えば約10Å以下、例えば約5Å以下の厚さを有する。幾つかの実施形態では、金属層210は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。金属層210は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。本明細書で提供される抵抗の値は、4点プローブを使用して測定される。ライナ層206及び金属層210は、例えば以下に論述するプロセス305(図3B)又はプロセス355(図3D)によって形成することができる。
本明細書に記載されるライナ層206は、ライナ層として有用な新しい材料を表している。さらには、その後に堆積される金属層210は、従来のTiNライナ上に堆積される従来の金属層と比較して改善された抵抗率を有する。
従来技術の金属ライナは、典型的には、40μΩ・cmを超える抵抗率を示す。このような高い抵抗率は、TiNライナの結晶状構造により、金属層が約100nm未満のサイズを有する小さい粒子へと成長することによるものである。加えて、TiN含有層などの薄い(例えば、約20Å以下)ライナ/エッチング停止層の従来のPVD堆積は、堆積中に核形成部位が生成されることから、困難である。さらには、薄いライナ/エッチング停止層の従来のCVD堆積を実施する場合、不純物が導入される可能性がある。ライナ内のこのような核形成部位及び不純物により、金属層が高いライン抵抗及び高いビア抵抗を有する可能性がある。対照的に、本明細書に記載される実施形態は、ライナ層206上への低抵抗率金属層210(例えば、約40μΩ・cm以下)の堆積を可能にする。さらには、ライナ層206には、金属の相互拡散がほとんど又はまったく見られない。
本開示の実施形態は、概して、ライナ/エッチング停止層として用いられるTiNなどの従来の材料を改善するためのプロセスにも関する。簡単に言えば、幾つかの実施形態では、該プロセスは、その後に堆積される金属層が改善された抵抗率を有するように、例えばTiNで作られた従来のエッチング停止層を処理するために使用することができる。加えて、又は代替的に、該プロセスは、その後に堆積される金属層の抵抗率を従来技術よりも改善するように、従来のエッチング停止層上に堆積された金属シード層を処理するために使用することができる。
図3Aは、エッチング停止層302の上に金属層303が配置された半導体構造300を示している。エッチング停止層302は、基板301の上に配置される。基板301は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。幾つかの実施形態では、相互接続構造が必要な場合、あるいはトランジスタ、キャパシタ、又は抵抗器などのフロントエンドオブライン(FEOL)デバイスに接続されている場合、基板301は導体304(例えば、プラグ、ラインなど)を含むことができる。加えて、又は代替的に、半導体構造300をRIEプロセスで使用して、相互接続構造を形成するときに金属層303の少なくとも一部を除去することができる。上述したように、TiN自体が約100nm未満のサイズを有する小さい粒子へと成長する結晶状構造を有するため、従来のTiNエッチング停止層では、その後に堆積される金属層の抵抗が不十分になる可能性がある。図3Bに関連して説明した処理プロセスは、従来技術に見られるこの問題及び他の問題を克服する。
図3Bは、半導体構造300を形成するためのプロセス305の選択された処理を示すフローチャートである。プロセス305は、必要に応じて、従来のエッチング停止材料の使用を可能にするが、他のエッチング停止材料を使用することもできる。プロセス305は、金属層303の(一又は複数の)金属が約100nmから約2~3μmのサイズを有する大きい粒子へと成長することができるように、エッチング停止層302の表面をアモルファス化するための処理プロセスを含む。金属層303の(一又は複数の)金属の大きい粒子は、金属層303のエッチング停止層302への接着をもたらし、約40μΩ・cm以下の抵抗を有する金属層303を生成する。
図3Bに示されるプロセス305の1つ以上の処理は、プロセス305の処理を単一のツールに統合することができるように、真空破壊することなく実施することができる。(一又は複数の)真空破壊を含まないプロセスを利用することにより、エッチング停止層の酸化が排除(又は少なくとも軽減)され、その後に堆積される金属層303の抵抗率が従来技術よりも改善される。したがって、幾つかの実施形態では、プロセス305の1つ以上の処理は、クラスタツール100又は他の適切なツールにおいて実施することができる。
プロセス305は、処理310で処理チャンバの処理容積内に基板301を配置することを含む。基板301は、処理315で、例えば汚染物質を蒸発させ、汚染物質が基板301に付着するのを防止する、ガス抜きプロセスを受ける。ガス抜きプロセスは、例えば、赤外線(IR)光源及び/又はホットプレートヒータを用いて、不活性ガス環境において約150℃から約400℃の温度で基板301を加熱することを含みうる。汚染物質の除去を助けるために、ガス抜き処理の前にウェットクリーニングを実施することもできる。
次に、処理320で、PVDプロセスによって基板301上にエッチング停止層302が堆積される。図1Aに示されるPVD処理チャンバを使用することができるが、他のPVDチャンバも想定されている。エッチング停止層302は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含みうる。幾つかの実施形態では、エッチング停止層302は、約5Åから約50Å、例えば約10Åから約30Å、例えば約15Åから約25Åの厚さを有する。少なくとも1つの実施形態では、エッチング停止層は、約30Å以下、例えば約25Å以下、例えば約20Å以下、例えば約15Å以下、例えば約10Å以下の厚さを有しうる。
処理320のPVDプロセスの一例として、エッチング停止材料を含むターゲット56が図1Aに示される処理チャンバ50に提供される。ペデスタル80は、約100℃から約400℃、例えば約200℃から約300℃の温度で加熱された静電チャックを含む。処理チャンバ50内のペデスタル80は、ターゲット56からスパッタされたエッチング停止層302でコーティングされるように、ターゲット56に対向して基板301を支持する。RF電源は、容量結合回路を介して基板301を支持するペデスタル80にバイアスをかける。ペデスタルは導電性であり、その結果、電極として機能する。処理チャンバ50内でのプラズマ存在下でのRFバイアスにより、ペデスタル80上に負のDC自己バイアスが発生し、その結果、スパッタされたエッチング停止材料イオンが基板301に向かって加速され、それらの軌道が基板301に形成された高アスペクト比の穴の奥深くに進入する。幾つかの実施形態では、エッチング停止層302は、約5mTorrから約20mTorrの圧力、約100℃から約400℃、例えば約200℃から約300℃の基板温度で堆積される。処理チャンバ50内のガス流は、約15sccmから約150sccmの流量に設定することができる。RF電源は、1kWから約10kW、例えば約2kWから約3kWに設定することができる。
次に、エッチング停止層302は、処理325においてスパッタプロセスに供される。ここで、エッチング停止層302に、Heイオン、Neイオン、Arイオン、Krイオン、Xeイオン、又はそれらの組合せなどの適切なイオンを衝突させて、エッチング停止層302をアモルファス化又は実質的にアモルファス化する。エッチング停止層302はスパッタプロセスの結果として非晶質になることから、その上で成長した金属層303は約40μΩ・cm以下の抵抗率を有し、金属層303とエッチング停止層302との間に密着性が観察される。
処理325のスパッタプロセスは、スパッタチャンバ、例えば、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能なPC XT(商標)チャンバ又はPC XTe(商標)チャンバなどの前洗浄/前処理チャンバ内で実施することができるが、他のチャンバも想定されている。処理325のスパッタプロセスの一例として、エッチング停止層302がその上に配置された基板301が前洗浄/前処理チャンバなどの処理チャンバ内に配置される。前洗浄/前処理チャンバはまた、基板を支持するペデスタルも含みうる。ペデスタルは、約500℃未満、例えば約200℃から約450℃、例えば約250℃から約350℃の温度で基板301を加熱するための加熱された静電チャックを含む。前洗浄/前処理チャンバ内の圧力は、約5mTorrから約100mTorr、例えば約5mTorrから約50mTorrでありうる。He、Ne、Ar、Kr、又はXe、又はそれらの組合せなどの任意の適切なスパッタガスを含むスパッタガスが前洗浄/前処理チャンバに流入され、プラズマへと励起されて、エッチング停止層302をイオンと衝突させる。スパッタチャンバへのスパッタガスのガス流量は、約3sccmから約100sccm、例えば約10sccmから約50sccmでありうる。処理325のスパッタプロセスは、約5分(min)未満、例えば約3分未満、例えば約1分未満、例えば約30秒(s)未満、例えば約20秒未満、例えば約10秒未満からの時間、実施することができる。
処理325におけるスパッタプロセスは、約500Wから約600WのFバイアス電力及び約200Wから約600Wのプラズマ出力で実施することができる。DC電源を利用して、接地された前洗浄/前処理チャンバ又は接地された側壁シールドに対してターゲットに約500Wから約10kW、例えば約900Wから約8kW、例えば約1kWから約2kWで負のバイアスをかけて、スパッタガスを励起してプラズマにすることができる。AC電源(図1Aには示されていない)を利用して、最小のエネルギー及び垂直軌道を有するある特定核種の粒子のみが、エッチング停止層302がその上に配置された基板301に衝突するように、基板301にバイアスをかけることができる。エネルギーが低く、入射角が平坦な他の核種は、基板への衝突を防ぐ。AC基板バイアス電力は、約0Wから約500W、例えば約200Wから約400Wの電力に設定することができる。
次に、処理330でエッチング停止層302上に金属層303が堆積される。幾つかの実施形態では、金属層303は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。金属層303は、典型的にはPVDプロセスを使用して堆積される。処理330の堆積プロセスの一例として、金属層303を堆積するためのスパッタされる金属(例えば、W、Ruなど)を含むターゲット56が、図1Aに示される処理チャンバ50などの処理チャンバ内に提供される。処理チャンバ50はまた、基板301を支持するペデスタルも含みうる。ペデスタル80は、約500℃未満、例えば約200℃から約450℃、例えば約250℃から約350℃の温度で基板301を加熱するための加熱された静電チャックを含む。処理チャンバ50内の圧力は、約5mTorrから約100mTorr、例えば約5mTorrから約50mTorrでありうる。He、Ne、Ar、Kr、Xe、又はそれらの組合せなどの任意の適切な非反応性ガスを含むスパッタガスが処理チャンバ50に流入され、プラズマへと励起されて、エッチング停止層302上に金属層303を堆積する。
DC電源60を利用して、接地された処理チャンバ50又は接地された側壁シールドに対してターゲット56に約500Wから約10kW、例えば約900Wから約8kW、例えば約1kWから約2kWで負のバイアスをかけて、スパッタガスを励起してプラズマにすることができる。AC電源(図1Aには示されていない)を利用して基板にバイアスをかけることができる。AC電源が用いられる場合、AC基板バイアス電力は、約0Wから約500W、例えば約200Wから約400Wの電力に設定することができる。動作330から生成される金属層303は、約50Åから約500Å、例えば約100Åから約400Å、例えば約200Åから約300Åの厚さを有しうる。金属層303は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。
図3Cは、エッチング停止層351の上に配置された金属層354と、基板350の上に配置されたエッチング停止層351とを有する半導体構造340を示している。金属層は、金属シード層352とバルク金属層とを含む。基板350は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。幾つかの実施形態では、相互接続構造が望ましい場合、あるいはトランジスタ、キャパシタ、又は抵抗器などのFEOLデバイスに接続される場合、基板350は、導体345(例えば、プラグ、ラインなど)を含みうる。加えて、又は代替的に、半導体構造340をRIEプロセスで使用して、相互接続構造を形成するときに金属層354の少なくとも一部を除去することができる。
上記のように、エッチング停止層自体は約100nm未満のサイズを有する小さい粒子へと成長する結晶状構造を有するため、従来技術の方法で堆積されたエッチング停止層では、その後に堆積される金属層の抵抗率が不十分になる可能性がある。図3Dに示されるプロセス355は、この問題を克服する。簡単に言えば、プロセス355は、金属シード層及びその上に堆積されるバルク金属層353の材料が約100nmを超える、最大で約2~3μmのサイズを有する大きい粒子へと成長することができるように、金属シード層352の表面をアモルファス化するための処理プロセスを含む。金属層354の大きい粒子は、金属層354のエッチング停止層351への優れた密着性をもたらし、約40μΩ・cm未満の抵抗率を有する金属層354を生成する。さらには、プロセス355は、必要に応じて、例えば従来のエッチング停止材料の使用を可能にするが、他のエッチング停止材料を使用することもできる。
図3Dは、エッチング停止層351上に金属層354を形成するためのプロセス355の選択された処理を示すフローチャートである。図3Dに示されるプロセス355は、プロセス355の処理が統合されるように、真空破壊することなく実施することができる。(一又は複数の)真空破壊を含まない処理を利用することにより、金属シード層352の酸化が排除(又は少なくとも軽減)され、金属層354の抵抗率が従来技術よりも改善される。したがって、幾つかの実施形態では、図3Dのプロセス355の1つ以上の動作は、クラスタツール100又は他の適切なツール内で実施することができる。
プロセス355は、処理360で、処理チャンバの処理容積50(図1A)内に基板350を配置することを含む。次に、基板350は、処理365でガス抜きプロセスを受ける。処理365のガス抜きプロセスは、図3Bの動作315と同じ、又は同様でありうる。汚染物質の除去を助けるために、ガス抜き処理の前にウェットクリーニングを実施することもできる。次に、処理370で、PVDプロセスによって基板350上にエッチング停止層351が堆積される。処理370のエッチング停止層351の堆積は、図3Bの処理320と同じ、又は同様でありうる。エッチング停止層351は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含みうる。
次に、処理375において、エッチング停止層351上に金属シード層352が堆積される。金属シード層352の堆積は、図1Aの処理チャンバ50などのPVDチャンバ又は他の適切なチャンバ内でPVDプロセスを使用して堆積させることができる。金属シード層352の堆積(動作375)は、図3Bの処理330と同じ、又は同様でありうる。処理375で堆積される金属シード層352の厚さは、約80Å以下、例えば約5Åから約50Å、例えば約10Åから約40Å、例えば約15Åから約30Å、例えば約20Åから約25Åでありうる。幾つかの実施形態では、金属シード層352は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。
次に、金属シード層352は、処理380においてスパッタプロセスに供される。ここで、金属シード層352に、Heイオン、Neイオン、Arイオン、Krイオン、Xeイオン、又はそれらの組合せなどの適切なイオンを衝突させて、金属シード層352をアモルファス化又は実質的にアモルファス化する。処理380のスパッタプロセスは、図3Bの処理325と同じ、又は同様でありうる。
次に、処理385において、金属シード層352上にバルク金属層353が堆積される。バルク金属層353の堆積は、図1Aの処理チャンバ50などのPVDチャンバ内でPVDプロセスを使用して実施することができる。処理385のバルク金属層353の堆積は、図3Bの処理330と同じ、又は同様でありうる。堆積されるバルク金属層353の厚さは、約450Å以下、例えば約400Å以下、例えば約100Åから約400Å、例えば約150Åから約350Å、例えば約200Åから約300Åでありうる。幾つかの実施形態では、堆積されるバルク金属層353は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。幾つかの実施形態では、バルク金属層353は、金属シード層352と同じ材料を含む。あるいは、及び少なくとも1つの実施形態では、バルク金属層353は、金属シード層352とは異なる材料を含む。
得られた金属層354は、約550Å以下、例えば50Åから約500Å、例えば約100Åから約400Å、例えば約200Åから約300Åの厚さを有する。処理380及び処理385から生成される金属層354は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。
図3Dに示されるプロセスでは、金属層354は、最初に堆積される金属シード層352と、その後に堆積されるバルク金属層353とを含む。金属シード層352の堆積とバルク金属層353の堆積との間にスパッタプロセスが実施される。理論に束縛されることは望まないが、金属シード層352を堆積し、続いてバルク金属層353を堆積すると、金属粒子構造、及び金属層354の抵抗率が改善されると考えられる。
本開示の実施形態は、概して、予め形成されたビア上に選択的金属ライナを形成し、続いて低い抵抗を有する金属層を堆積するためのプロセスにも関する。このようなプロセスは、例えば、多層相互接続構造などの半導体構造の形成に有用である。
図4Aは、内部にビアホール410(又はビアプラグ)が形成された基板405を有する半導体構造400を示している。基板405は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。基板405は、相互接続構造を下層の半導体デバイスと接続するために下層の半導体構造と接触する構造を表すことができる。
ビアホール410は、金属材料、例えばRu、W、Mo、Co、Ti、TiN、又はそれらの組合せなどの任意の適切な材料で少なくとも部分的に充填されうる。エッチング停止層415(又はライナ層)が、ビアホール410の上に選択的に配置される。金属層420が、基板405の少なくとも一部の上に配置され、かつエッチング停止層415の少なくとも一部の上に配置される。典型的には、エッチング停止層415の形成に用いられる材料は、金属層の形成に利用される材料とは異なる。幾つかの実施形態では、エッチング停止層415は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含む。金属層420は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含みうる。図4Aに示される半導体構造400は、RIEプロセスで使用して、相互接続構造を形成するときに金属層420の少なくとも一部を除去することができる。
エッチング停止層を堆積するための従来の方法は、典型的には、基板の望ましくない表面上でのエッチング停止材料の残留をもたらす。これらの残留材料が十分に除去されていない場合、電流の漏れが生じる。対照的に、本発明者らは、これら及び他の問題を克服するプロセスを見出した。例えば、プロセス450は、誘電体膜上ではなく金属ビア上にのみ堆積される選択的金属エッチング停止を使用して、低い漏れ集積を可能にする。
図4Bは、多層相互接続構造(例えば、下層のビアとオーミック接触している金属ワイヤ)などの半導体構造を製造するためのプロセス450の選択された処理を示すフローチャートである。プロセス450は、基板405の誘電体材料上へのエッチング停止層の堆積を最小限に抑えつつ(又は排除しつつ)、例えば、基板405内に形成されたビアホール410上へのエッチング停止層415の選択的な堆積の実施を可能にする。図4Bに示されるプロセスの1つ以上の処理は、処理が単一のツールに統合されるように、真空破壊することなく実施することができる。(一又は複数の)真空破壊を含まないプロセスを利用することにより、エッチング停止層の酸化が排除(又は少なくとも軽減)され、その後に堆積される金属層420の抵抗率が従来技術よりも改善される。したがって、幾つかの実施形態では、プロセス450の1つ以上の処理は、クラスタツール100又は他の適切なツールにおいて実施することができる。
プロセス450は、処理455で処理チャンバの処理容積内に基板405を配置することを含む。基板405は、処理460において、例えば汚染物質を蒸発させ、汚染物質が基板405に付着するのを防止する、ガス抜きプロセスを受ける。ガス抜きプロセスは、例えば、IR光源及び/又はホットプレートヒータを用いて、不活性ガス環境において約150℃から約400℃の温度で、基板405を加熱することを含みうる。汚染物質の除去を助けるために、ガス抜き処理の前にウェットクリーニングを実施することもできる。
次に、処理465において、CVDプロセスによって基板405上にエッチング停止層415が堆積される。ここで、エッチング停止層415は、基板405の誘電体材料がエッチング停止層415の形成に用いられる材料を含まないか、又は実質的に含まないように、ビアホール410内の材料上に選択的に堆積される。すなわち、選択的堆積プロセスは、基板405の上面にエッチング停止層415を形成せず、隣接するライン/導電性要素の短絡を防止する。エッチング停止層415は、従来技術と比較して、下層の金属層のエレクトロマイグレーション性能及び誘電体バリアの密着性を改善すると考えらる。エッチング停止層415は、Ti、TiN、Ta、TaN、Mo、W、Ru、Co、Ni、Au、Ag、Mn、Sn、Al、又はそれらの組合せなどの任意の適切な材料を含みうる。
選択的堆積プロセスは、前駆体及び表面に依存しうる。選択的堆積プロセスは、プラズマ処理、プラズマCVD、プラズマALD、又はそれらの任意の組合せなど、任意の適切な技法を使用して実施することができる。あるいは、選択的堆積プロセスは、選択性を達成するために、異なる化学(プラズマなし)を用いた熱表面処理を使用して実施することができる。エッチング停止層415は、めっき、電気めっき、又はCVD、PVD、ALDなどの他の適切な堆積技法を使用して適用してもよい。
処理465のCVDプロセスの一例として、金属含有前駆体は、ワークピースが配置される処理チャンバに、約10sccmから約3,000sccm、例えば約20sccmから約1,500sccm、例えば約30sccmから約200sccmの流量で導入することができる(300mmの基板の場合)。処理中のワークピース又は基板ペデスタルの温度は、約200℃から約800℃、例えば約350℃から約550℃、例えば約400℃から約500℃でありうる。幾つかの実施形態では、ワークピースは、加熱され、約100℃から約600℃、例えば約120℃から約500℃、例えば約150℃から約425℃の温度で維持されうる。処理チャンバは、約1mTorrから約100Torr、例えば約1Torrから約10Torr、例えば約2Torrから約5Torrまで加圧される、制御された環境を有しうる。
エッチング停止層415の形成中、基板は、金属含有前駆体に曝露され、ドーピングされ、エッチング停止層415と反応するか、又はエッチング停止層415の上面に合金層を形成することができる。図4Aには示されていないが、合金層は、エッチング停止層415の上かつ金属層420の下に位置しうる。
幾つかの例では、エッチング停止層415がコバルトから形成される場合、ワークピースをアルミニウム含有前駆体に曝露することにより、エッチング停止層415をコバルト含有合金へと選択的に変えることができ、及び/又はエッチング停止層415上にコバルト含有合金、例えばコバルト-アルミニウム合金を形成することができる。幾つかの実施形態では、エッチング停止層415又はコバルト-アルミニウム合金層は、選択的Co堆積とそれに続く選択的Al堆積とによって形成されうる。幾つかの実施形態では、エッチング停止層415又はコバルト-アルミニウム合金層は、選択的Al堆積とそれに続く選択的Co堆積とによって形成されうる。幾つかの実施形態では、コバルト-アルミニウム合金は、Co-Al-Co-Al堆積などの選択的循環プロセスによって形成されうる。このような場合、基板は、所望の厚さに達するまで、コバルト含有前駆体とアルミニウム含有前駆体とに交互に曝露されうる。幾つかの実施形態では、コバルト-アルミニウム合金層は、コバルト含有前駆体とアルミニウム含有前駆体とを共流させることによって形成されうる。
適切なコバルト含有前駆体は、メチルシクロペンタジエニルコバルトビス(カルボニル)(MeCpCo(CO))、エチルシクロペンタジエニルコバルトビス(カルボニル)(EtCpCo(CO))、ジコバルトオクタ(カルボニル)(Co(CO))、ニトロシルコバルトトリス(カルボニル)((ON)Co(CO)、又はそれらの組合せを含む。適切なコバルト含有前駆体はまた、コバルトカルボニル化合物又は錯体、例えば、シクロペンタジエニルコバルトビス(カルボニル)(CpCo(CO))、トリカルボニルアリルコバルト((CO)Co(CHCH=CH))、ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)Co(HC≡CtBu))、ジコバルトヘキサカルボニルメチルブチルアセチレン((CO)Co(MeC≡CtBu))、ジコバルトヘキサカルボニルフェニルアセチレン((CO)Co2(HC≡CPh))、又はそれらの組合せも含む。適切なコバルト含有前駆体はまた、コバルトアミジネート又はコバルトアミド錯体、例えば、ビス(ジ(ブチルジメチルシリル)アミド)コバルト(((BuMeSi)N)Co)、ビス(ジ(エチルジメチルシリル)アミド)コバルト(((EtMeSi)N)Co)、ビス(ジ(プロピルジメチルシリル)アミド)コバルト(((PrMeSi)N)Co)、ビス(ジ(トリメチルシリル)アミド)コバルト(((MeSi)N)Co)、又はそれらの組合せも含む。幾つかの実施形態では、コバルト含有前駆体は、上記コバルト含有前駆体のうちの2つ以上を含みうる。
適切なアルミニウム含有前駆体は、ジメチル水素化アルミニウム(DMAH)、トリメチルアルミニウム(TMA、AlMe又は(AlMe)、トリエチルアルミニウム(AlEt又はTEA)、トリ-tert-ブチルアルミニウム(TTBA)、水素化アルミニウム(AlH)、又はそれらの組合せを含む。本明細書ではアルミニウム含有前駆体について説明するが、Ru、Mn、又はWなどの他の適切なドーピング前駆体も、エッチング停止層415と反応して、エッチング停止層415を変化させるため、及び/又はその上に合金層を形成するために用いることができるものと認識されたい。
次に、処理470においてエッチング停止層415上に金属層420が堆積される。金属層420は、PVDプロセスを使用して、約50Åから約500Å、例えば約100Åから約400Å、例えば約200Åから約300Åの厚さまで堆積させることができる。処理470の金属層420の堆積は、図3Bの処理330と同じ、又は同様でありうる。
幾つかの実施形態では、金属層420は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。少なくとも1つの実施形態では、金属層420の形成に用いられる材料は、エッチング停止層415の形成に用いられる材料とは異なる。例えば、金属層420がW層の場合、エッチング停止層415はRu層でありうる。すなわち、金属層420は、Ruを含まないか、又は実質的に含まず、エッチング停止層415は、Wを含まないか、又は実質的に含まない。別の例として、金属層420がRu層の場合、エッチング停止層415はW層でありうる。この例では、金属層420は、Wを含まないか、又は実質的に含まず、エッチング停止層415は、Ruを含まないか、又は実質的に含まない。金属層420は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。
図5Aは、内部にビアホール510(又はビアプラグ)が形成された基板505を有する半導体構造500を示している。基板505は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。基板505は、相互接続構造を下層の半導体デバイスと接続するために下層の半導体構造と接触する構造を表しうる。ビアホール510は、金属材料、例えばRu、W、Mo、Co、Ti、TiN、又はそれらの組合せなどの任意の適切な材料で少なくとも部分的に充填されうる。エッチング停止層515(又はライナ層)が、ビアホール510の上に選択的に配置される。接着層516が、エッチング停止層515の少なくとも一部の上に配置され、かつ基板505の少なくとも一部の上に配置される。金属層520が、接着層516の少なくとも一部の上に配置される。半導体構造500は、RIEプロセスで使用して、相互接続構造を形成するときに金属層520の少なくとも一部を除去することができる。
図5Bは、多層相互接続構造(例えば、下層のビアとオーミック接触している金属ワイヤ)などの半導体構造を製造するためのプロセス550の選択された処理を示すフローチャートである。プロセス550は、ワイヤ抵抗又はビア接触抵抗を劣化させることなく、例えば、構造の強固な接着を可能にする。図5Bに示されるプロセスの1つ以上の動作は、処理が単一のツールに統合されるように、真空破壊することなく実施することができる。(一又は複数の)真空破壊を含まないプロセスを利用することにより、エッチング停止層の酸化が排除(又は少なくとも軽減)され、その後に堆積される金属層520の抵抗率が従来技術よりも改善される。したがって、幾つかの実施形態では、プロセス550の1つ以上の処理は、クラスタツール100又は他の適切なツールにおいて実施することができる。
プロセス550は、処理555で処理チャンバの処理容積内に基板505を配置することを含む。基板505は、処理560において、例えば汚染物質を蒸発させ、汚染物質が基板505に付着するのを防止する、ガス抜きプロセスを受ける。ガス抜きプロセスは、例えば、IR光源及び/又はホットプレートヒータを用いて、不活性ガス環境において約150℃から約400℃の温度で、基板505を加熱することを含みうる。汚染物質の除去を助けるために、ガス抜き処理の前にウェットクリーニングを実施することもできる。
次に、処理565において、CVDプロセスによって基板505上にエッチング停止層515が堆積される。ここで、エッチング停止層515は、基板505の誘電体材料がエッチング停止層515の形成に用いられる材料を含まないか、又は実質的に含まないように、ビアホール510上に選択的に堆積される。エッチング停止層515は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含みうる。処理565のCVD堆積は、図4Bの処理465と同じ、又は同様でありうる。
次いで、処理570において、エッチング停止層515の少なくとも一部及び基板505の少なくとも一部の上に接着層516が堆積される。接着層516は、PVDプロセスを使用して、約1Åから約100Å、例えば約1Åから約10Å、例えば約3Åから約5Åの厚さまで堆積させることができる。接着層516は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含む。少なくとも1つの実施形態では、接着層516の形成に用いられる材料は、エッチング停止層515の形成に用いられる材料とは異なる。例えば、接着層516がTi層の場合、エッチング停止層515はRu層及び/又はW層でありうる。すなわち、接着層516は、Ru及び/又はWを含まないか、又は実質的に含まず、エッチング停止層515は、Tiを含まないか、又は実質的に含まない。接着層516を形成するための処理570のPVDプロセスは、図3Bの処理330と同じ、又は同様でありうる。
次に、処理575において、接着層516上に金属層520が堆積される。金属層520は、典型的には、PVDプロセスを使用して、約50Åから約500Å、例えば約100Åから約400Å、例えば約200Åから約300Åの厚さまで堆積される。処理575の金属層520の堆積は、図3Bの処理330と同じ、又は同様でありうる。
幾つかの実施形態では、金属層520は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。少なくとも1つの実施形態では、金属層520の形成に用いられる材料は、接着層516の形成に用いられる材料とは異なる。例えば、金属層520がW層の場合、接着層516はRu層でありうる。すなわち、金属層520は、Ruを含まないか、又は実質的に含まず、接着層516は、Wを含まないか、又は実質的に含まない。動作575から生成される金属層520は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。
図6Aは、内部にビアホール610(又はビアプラグ)が形成された基板605を有する半導体構造600を示している。基板605は、例えば、誘電体材料、とりわけケイ素、ゲルマニウム、石英、及びガラスなどの任意の適切な材料であるか、又はそれらを含みうる。基板605は、相互接続構造を下層の半導体デバイスと接続するために下層の半導体構造と接触する構造を表すことができる。ビアホール610は、金属材料(例えば、Ru、W、Mo、Co、Ti、TiN、及び他のもの、又はそれらの組合せ)で少なくとも部分的に充填され、かつエッチング停止層615(又はライナ層)で少なくとも部分的に充填される。接着層616が、エッチング停止層615の少なくとも一部の上に配置され、かつ基板605の少なくとも一部の上に配置される。金属層620が、接着層616の少なくとも一部の上に配置される。半導体構造600は、RIEプロセスで使用して、相互接続構造を形成するときに金属層620の少なくとも一部を除去することができる。
図6Bは、多層相互接続構造(例えば、下層のビアとオーミック接触している金属ワイヤ)などの半導体構造を製造するためのプロセス650の選択された動作を示すフローチャートである。プロセス650は、低い抵抗率、改善されたエッチング停止特性、及び改善された接着特性を有する望ましい特徴部を構築するための代替的な手法である。図6Bに示されるプロセスの1つ以上の動作は、動作が単一のツールに統合されるように、真空破壊することなく実施することができる。(一又は複数の)真空破壊を含まないプロセスを利用することにより、エッチング停止層の酸化が排除(又は少なくとも軽減)され、その後に堆積される金属層620の抵抗が従来技術よりも改善される。したがって、幾つかの実施形態では、プロセス650の1つ以上の処理は、クラスタツール100又は他の適切なツールにおいて実施することができる。
プロセス650は、処理555において処理チャンバの処理容積内に基板605を配置することを含む。基板605は、処理660において、例えば汚染物質を蒸発させ、汚染物質が基板605に付着するのを防止する、ガス抜きプロセスを受ける。ガス抜きプロセスは、例えば、IR光源及び/又はホットプレートヒータを用いて、不活性ガス環境において約150℃から約400℃の温度で、基板605を加熱することを含みうる。汚染物質の除去を助けるために、ガス抜き処理の前にウェットクリーニングを実施することもできる。
この段階では、ビアホール610の少なくとも一部は空である。次に、基板605の誘電体材料が、エッチング停止層615の形成に用いられる材料を含まないか、又は実質的に含まないように、処理665において、エッチング停止層615がCVDプロセスによってビアホール610内に選択的に堆積される。処理665のCVD堆積は、図4Bの処理465と同じ、又は同様でありうる。エッチング停止層615は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含みうる。
次いで、処理670において、エッチング停止層615の少なくとも一部及び基板605の少なくとも一部の上に接着層616が堆積される。接着層616は、PVDプロセスを使用して、約1Åから約100Å、例えば約1Åから約10Å、例えば約3Åから約5Åの厚さまで堆積させることができる。接着層616を形成するための処理670のPVDプロセスは、図5Bの処理570と同じ、又は同様でありうる。接着層は、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せなどの任意の適切な材料を含みうる。少なくとも1つの実施形態では、接着層616の形成に用いられる材料は、エッチング停止層615の形成に用いられる材料とは異なる。例えば、接着層616がTi層の場合、エッチング停止層615はRu層及び/又はW層でありうる。すなわち、接着層616は、Ru及び/又はWを含まないか、又は実質的に含まず、エッチング停止層615は、Tiを含まないか、又は実質的に含まない。
次に、処理675において、接着層616上に金属層620が堆積される。金属層620は、PVDプロセスを使用して、約50Åから約500Å、例えば約100Åから約400Å、例えば約200Åから約300Åの厚さまで堆積させることができる。処理675の金属層620の堆積は、図3Bの処理330と同じ、又は同様でありうる。幾つかの実施形態では、金属層620は、Ru、Mo、W、Cu、Co、Ir、金属ケイ化物、金属合金、又はそれらの組合せなどの任意の適切な材料を含む。少なくとも1つの実施形態では、金属層620の形成に用いられる材料は、接着層616の形成に用いられる材料とは異なる。例えば、金属層620がW層の場合、接着層616はRu層でありうる。すなわち、金属層620は、Ruを含まないか、又は実質的に含まず、接着層616は、Wを含まないか、又は実質的に含まない。
処理675から生成される金属層620は、約40μΩ・cm以下、例えば約35μΩ・cm以下、例えば約30μΩ・cm以下、例えば約25μΩ・cm以下、例えば約20μΩ・cm以下、例えば約15μΩ・cm以下、例えば約10μΩ・cm以下、例えば約5μΩ・cm以下の抵抗率を有しうる。
例えば、その上に低抵抗率金属層を能動的に形成することができる、新しい、改善されたエッチング停止材料/ライナ材料が、本明細書に記載される。本明細書に記載される実施形態はまた、その上に形成される金属層が低い抵抗率を有するように、従来のエッチング停止材料/ライナ材料(例えば、TiN)の1つ以上の特性を変更することができるプロセスも含む。エッチング停止ライナ材料上に形成される金属層が低い抵抗率を有するように、エッチング停止ライナ材料の選択的堆積を可能にするプロセスもまた、本明細書に記載される。
上記では、本開示の実施形態について言及した。しかしながら、本開示は特定の記載された実施形態に限定されないものと理解されたい。その代わり、以下の特徴及び要素の任意の組合せが、異なる実施形態に関連するか否かに関わらず、本開示を実装及び実施するために企図されている。さらには、本開示の実施形態は、他の可能な解決策を上回る及び/又は従来技術を上回る利点を達成することができるが、所与の実施形態によって特定の利点が達成されるかどうかは、本開示を限定するものではない。したがって、前述の態様、特徴、実施形態、及び利点は単なる例示であり、特許請求の範囲に明示的に記載されている場合を除き、添付の特許請求の範囲の要素又は制限とはみなされない。同様に、「本開示」への言及は、本明細書に開示される発明の主題を一般化したものとして解釈されるべきではなく、特許請求の範囲に明示的に記載されている場合を除き、添付の特許請求の範囲の要素又は限定であるとはみなされないものとする。
本開示の目的上、別途指定のない限り、「ライナ」と「エッチング停止」という用語は同じ意味で用いられる。したがって、ライナ材料に関連して本明細書に記載される実施形態は、エッチング停止材料も含み、またその逆も同様である。
本開示の目的上、別途指定のない限り、本明細書の詳細な説明及び特許請求の範囲内のすべての数値は、「約」又は「おおよそ」の示された値によって修正されており、当業者によって予期されるであろう実験誤差及び変動を考慮している。本開示の目的上、別途指定のない限り、本明細書の詳細な説明及び特許請求の範囲内のすべての数値は、「約」又は「おおよそ」の示された値によって修正されており、当業者によって予期されるであろう実験誤差及び変動を考慮している。簡潔にするために、本明細書では、ある特定の範囲のみを明示的に開示する。しかしながら、明示的に記載されていない範囲を記載するために、任意の下限値からの範囲を任意の上限値と組み合わせることができ、同様に、明示的に記載されていない範囲を記載するために、任意の下限値からの範囲を任意の他の下限値と組み合わせることができ、同じように、明示的に記載されていない範囲を記載するために、任意の上限値からの範囲を任意の他の上限値と組み合わせることができる。加えて、範囲内には、明示的に記載されていない場合でも、その端点間のすべての点又は個々の値が含まれる。したがって、明示的に記載されていない範囲を記載するために、すべての点又は個々の値は、他の点若しくは個々の値又は他の下限若しくは上限と組み合わせた独自の下限又は上限として機能しうる。
本明細書で用いられる場合、不定冠詞「a」又は「an」は、別段の指定がない限り、又は文脈上明らかにそうでないことが示されない限り、「少なくとも1つ」を意味するものとする。
上記は本開示の実施態様を対象としているが、本開示の他の及びさらなる実施態様は、その基本的な範囲から逸脱することなく考案することができ、その範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 半導体構造を製造する方法であって、
    前記半導体構造上でガス抜き処理を実施すること、
    前記半導体構造上にライナ層を堆積すること、
    前記半導体構造上でスパッタ処理を実施すること、及び
    物理的気相堆積によって前記ライナ層上に金属層を堆積すること
    を含み、ここで、
    前記ライナ層が、Ti、Ta、TaN、又はそれらの組合せを含み、かつ
    前記金属層の抵抗率が約30μΩ・cm以下である、
    半導体構造を製造する方法。
  2. 前記ガス抜き処理、前記ライナ層の堆積、前記スパッタ処理、及び前記金属層の堆積が、単一のクラスタツール内で実施される、請求項1に記載の方法。
  3. 前記ライナ層の厚さが約25Å以下である、請求項1に記載の方法。
  4. 前記金属層の前記抵抗率が約20μΩ・cm以下である、請求項1に記載の方法。
  5. 前記ライナ層の厚さが約20Å以下である、
    前記金属層の前記抵抗率が約15μΩ・cm以下である、又は
    それらの組合せである、
    請求項1に記載の方法。
  6. 半導体構造を製造する方法であって、
    前記半導体構造上でガス抜き処理を実施すること、
    前記ガス抜き処理後に物理的気相堆積によって前記半導体構造上にエッチング停止層を堆積すること、
    前記半導体構造上でスパッタ処理を実施すること、及び
    前記スパッタ処理の実施後に物理的気相堆積によって前記半導体構造上に金属層を堆積すること
    を含む、方法。
  7. 前記ガス抜き処理、前記エッチング停止層の堆積、前記スパッタ処理の実施、及び前記金属層の堆積が、単一のクラスタツール内で実施される、請求項6に記載の方法。
  8. 前記半導体構造上で前記スパッタ処理を実施することが、前記エッチング停止層上でスパッタ処理を実施することを含む、請求項6に記載の方法。
  9. 前記エッチング停止層の堆積後かつ前記スパッタ処理の実施前に、前記方法が、物理的気相堆積によって前記エッチング停止層上に金属シード層を堆積することをさらに含み、かつ
    前記半導体構造上で前記スパッタ処理を実施することが、前記金属シード層上で前記スパッタ処理を実施することを含む、
    請求項6に記載の方法。
  10. 前記スパッタ処理が約30秒以下の間実施される、
    前記金属シード層が約80Å以下の厚さを有する、
    前記金属層が約100Å以上の厚さを有する、又は
    それらの組合せである、
    請求項9に記載の方法。
  11. 前記金属層が約40μΩ・cm以下の抵抗率を有する、請求項6に記載の方法。
  12. 前記金属層の前記抵抗率が約30μΩ・cm以下である、請求項11に記載の方法。
  13. 前記エッチング停止層が、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せを含み、かつ
    前記金属層が、Ru、Mo、W、Cu、Co、Ir、又はそれらの組合せを含む、
    請求項6に記載の方法。
  14. 前記金属層が、Ru、W、又はその両方を含む、請求項11に記載の方法。
  15. 相互接続構造を製造する方法において、
    半導体構造上でガス抜き処理を実施することであって、前記半導体構造が誘電体材料とビアホールとを含み、前記ビアホールが金属材料で少なくとも部分的に充填される、ガス抜き処理を実施すること、
    前記ガス抜き処理後に化学気相堆積によって前記半導体構造上にエッチング停止層を堆積すること、及び
    前記エッチング停止層の堆積後に物理的気相堆積によって前記半導体構造上に金属層を堆積して前記相互接続構造を形成すること
    を含む、方法。
  16. 前記ガス抜き処理、前記エッチング停止層の堆積、及び前記金属層の堆積が、単一のクラスタツール内で実施される、請求項15に記載の方法。
  17. 前記エッチング停止層が、前記金属材料で少なくとも部分的に充填された前記ビアホール上に選択的に堆積され、
    前記エッチング停止層の堆積後に、前記方法が、物理的気相堆積によって前記エッチング停止層の少なくとも一部及び前記誘電体材料の少なくとも一部上に接着層を堆積することをさらに含み、かつ
    前記金属層を堆積することが、前記接着層上に前記金属層を堆積することを含む、
    請求項15に記載の方法。
  18. 前記ビアホールの少なくとも一部が前記金属材料を含まない場合、前記エッチング停止層が前記ビアホール内に形成される、請求項15に記載の方法。
  19. 前記エッチング停止層が、Ti、TiN、Ta、TaN、Mo、W、Ru、又はそれらの組合せを含み、
    前記金属層が、Ru、Mo、W、Cu、Co、Ir、又はそれらの組合せを含み、かつ
    前記エッチング停止層が前記金属層とは異なる材料を含む、
    請求項15に記載の方法。
  20. 前記金属層の抵抗率が約30μΩ・cm以下である、請求項15に記載の方法。
JP2023553597A 2021-03-05 2022-01-05 サブトラクティブ金属及びサブトラクティブ金属半導体 Pending JP2024508912A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/193,994 2021-03-05
US17/193,994 US11923244B2 (en) 2021-03-05 2021-03-05 Subtractive metals and subtractive metal semiconductor structures
PCT/US2022/011342 WO2022186897A1 (en) 2021-03-05 2022-01-05 Subtractive metals and subtractive metal semiconductor structures

Publications (1)

Publication Number Publication Date
JP2024508912A true JP2024508912A (ja) 2024-02-28

Family

ID=83116371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023553597A Pending JP2024508912A (ja) 2021-03-05 2022-01-05 サブトラクティブ金属及びサブトラクティブ金属半導体

Country Status (6)

Country Link
US (1) US11923244B2 (ja)
JP (1) JP2024508912A (ja)
KR (1) KR20230145215A (ja)
CN (1) CN117015853A (ja)
TW (1) TW202242967A (ja)
WO (1) WO2022186897A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688782B2 (en) * 2021-03-25 2023-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6372633B1 (en) * 1998-07-08 2002-04-16 Applied Materials, Inc. Method and apparatus for forming metal interconnects
US6974768B1 (en) 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7396755B2 (en) * 2005-05-11 2008-07-08 Texas Instruments Incorporated Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer
US7528066B2 (en) 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US8969195B2 (en) * 2008-02-22 2015-03-03 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US9984976B2 (en) * 2015-02-13 2018-05-29 Applied Materials, Inc. Interconnect structures and methods of formation
US10636702B2 (en) * 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits

Also Published As

Publication number Publication date
TW202242967A (zh) 2022-11-01
US20220285212A1 (en) 2022-09-08
KR20230145215A (ko) 2023-10-17
WO2022186897A1 (en) 2022-09-09
US11923244B2 (en) 2024-03-05
CN117015853A (zh) 2023-11-07

Similar Documents

Publication Publication Date Title
US10269633B2 (en) Method of enabling seamless cobalt gap-fill
US7026238B2 (en) Reliability barrier integration for Cu application
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US7618893B2 (en) Methods of forming a layer for barrier applications in an interconnect structure
TWI723465B (zh) 形成鎳矽化物材料之方法
KR101600995B1 (ko) 상호연결 구조물들을 형성하기 위한 방법들
JP2001200358A (ja) タングステン、アルミニウム、及び銅アプリケーション用ライナ、バリヤ及び/又はシード層としてのpvd−impタングステン及び窒化タングステン
US6855632B2 (en) Cu film deposition equipment of semiconductor device
JP2001240963A (ja) 重ガススパッタリングによるイオン化金属プラズマ技術
TWI427737B (zh) 形成積體電路結構的方法
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
JP2024508912A (ja) サブトラクティブ金属及びサブトラクティブ金属半導体
TWI651807B (zh) Cu配線之製造方法
US20090215260A1 (en) Methods of forming a barrier layer in an interconnect structure
US10510549B2 (en) Method of fabricating a metal layer
TWI828904B (zh) 用於填充設置在基板中的特徵的方法與設備
TW202117037A (zh) 用於藉由物理氣相沉積(pvd)來沉積鋁的方法與設備
KR102670444B1 (ko) 기판에 배치된 피처를 충전하기 위한 방법들 및 장치
US20220364230A1 (en) Pulsing plasma treatment for film densification
Khurana et al. Ultrathin integrated ion metal plasma titanium and metallorganic titanium nitride liners for sub 0.18 um W based metallization schemes for> 500 MHz microprocessors

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231106