TWI723465B - 形成鎳矽化物材料之方法 - Google Patents

形成鎳矽化物材料之方法 Download PDF

Info

Publication number
TWI723465B
TWI723465B TW108125169A TW108125169A TWI723465B TW I723465 B TWI723465 B TW I723465B TW 108125169 A TW108125169 A TW 108125169A TW 108125169 A TW108125169 A TW 108125169A TW I723465 B TWI723465 B TW I723465B
Authority
TW
Taiwan
Prior art keywords
nickel silicide
substrate
layer
temperature
nickel
Prior art date
Application number
TW108125169A
Other languages
English (en)
Other versions
TW202010858A (zh
Inventor
于敏銳
河 任
梅伍爾 奈克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202010858A publication Critical patent/TW202010858A/zh
Application granted granted Critical
Publication of TWI723465B publication Critical patent/TWI723465B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0682Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]

Abstract

本文揭示了在基板上形成鎳矽化物材料的方法。該方法包括在約15℃至約27℃的溫度下在基板頂部沉積第一鎳矽化物種晶層,在400℃或更低的溫度下,如超過350℃,使第一鎳矽化物種晶層退火;且在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層上沉積第二鎳矽化物層,以形成鎳矽化物材料。

Description

形成鎳矽化物材料之方法
本揭示案的實施例大體係關於一種沉積鎳矽化物(NiSi)材料的方法,該等鎳矽化物材料具有改良的導電性或低電阻率,及/或小於10奈米(nm)的電子平均自由路徑(electron mean free path; eMFP)。
在諸如積體電路之半導體元件中,互連用於連接及整合元件的各種部件。通常,元件由多層導電部件組成,由絕緣材料隔開,以協助使信號路徑減至最小且縮小元件尺寸。為了在層間建立連續性,導電互連(觸點或通孔)在絕緣層之間延伸並連接導電層。因此,互連是用導電材料填充的垂直開口,用於將元件各層上的部件彼此連接並連接到半導體基板。
隨著半導體元件整合度的提高,互連的尺寸已減小,且其深寬比(亦即,互連的高度與寬度的比率)已增大。因而,過去足以填充互連的方法已被證實不足以用於較小的互連。通常,使用諸如銅之金屬材料填充互連孔,該材料藉由化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積(physical vapor deposition; PVD)、電鍍或其組合沉積在孔內。
積體電路(integrated circuit; IC)中的互連分配時鐘及其他信號,並為電路內的各個部分提供電源/接地。隨著積體電路特徵尺寸的不斷縮小,互連正成為決定系統效能的主要因數,系統效能例如信號傳播延遲及功率消耗,此與互連線電阻有關。在過去的20年中,由於銅(Cu)的低電阻率,銅始終是互連的首選材料。然而,發明者已觀察到,隨著互連線寬度收縮至低於材料的電子平均自由路徑(electron mean free path; eMFP),由於線表面及晶粒邊界處的側壁電子散射,材料的有效電阻率增大。因此,發明者已觀察到,對於寬度為20奈米或更小的銅互連,有效電阻率的增大會招致問題,因為銅具有39奈米的eMFP。此外,發明者亦觀察到銅在低溫下會與矽發生有問題的相互作用,或者擴散到周圍的介電質中。通常需要障壁層來防止擴散,此擴散會不利地增大銅的總電阻率。發明者已觀察到對尋找積體電路互連中銅的替代材料的需求。
因此,發明者提供了在基板上形成鎳矽化物材料的改良方法,及降低鎳矽化物材料的電阻率的方法。
本文提供了在基板上形成鎳矽化物材料及降低鎳矽化物材料的電阻率的實施例。在一些實施例中,一種用於在基板上形成鎳矽化物材料的方法包括:在約15℃至約27℃的溫度下,在基板頂部沉積第一鎳矽化物種晶層;在諸如超過350℃或400℃或更低的溫度下使第一鎳矽化物種晶層退火;及在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層上沉積第二鎳矽化物層,以形成鎳矽化物材料。在實施例中,鎳矽化物材料具有小於20微歐姆×公分的電阻,如1-20微歐姆×公分。
在一些實施例中,一種降低鎳矽化物材料電阻率的方法包括:a)在約15℃至約27℃的溫度下,在基板頂部沉積鎳矽化物層;b)在高於350℃的溫度下使第一鎳矽化物種晶層退火;及c)重複a)及/或b),直到鎳矽化物材料具有預定厚度。在一些實施例中,第一鎳矽化物種晶層在氬氣中在400℃或更低的溫度下退火長達2分鐘。在一些實施例中,當達到鎳矽化物材料的期望厚度之後,鎳矽化物材料在約350℃的溫度及20巴的壓力(氬氣環境)下退火長達10分鐘。
在一些實施例中,一種在基板上形成互連的方法包括:在約15℃至約27℃的溫度下,在基板上物理氣相沉積第一鎳矽化物種晶層;在高於350℃的溫度下使第一鎳矽化物種晶層退火;及在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層上物理氣相沉積第二鎳矽化物層,以形成鎳矽化物材料。
在一些實施例中,本揭示案係關於基板處理系統,該系統包括:物理氣相沉積腔室,被配置用於在約15℃至約27℃的溫度下在基板上物理氣相沉積第一鎳矽化物種晶層,及在約15℃至約27℃的溫度下在第一鎳矽化物種晶層頂部物理氣相沉積第二鎳矽化物層,以形成鎳矽化物材料;及熱處理腔室,該腔室被配置用於在超過350℃的溫度下使第一鎳矽化物種晶層退火。
在一些實施例中,本揭示案係關於其上儲存有指令的電腦可讀媒體,當執行該媒體時,使得整合系統執行在基板上形成鎳矽化物材料的方法,該方法包括:在約15℃至約27℃的溫度下在基板頂部沉積第一鎳矽化物種晶層;在高於350℃的溫度下使第一鎳矽化物種晶層退火;及在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層上沉積第二鎳矽化物層,以形成鎳矽化物材料。
下文描述本揭示案的其他及更多實施例。
本文提供了在基板上形成鎳矽化物材料的實施例。發明者已觀察到,對於寬度為20奈米或更小的銅(Cu)互連,有效電阻率的增大會招致問題,因為銅具有39奈米的eMFP。此外,發明者觀察到銅的問題在於銅在低溫下與矽相互作用,或擴散到周圍的介電質中。通常需要障壁層來防止銅擴散,此將不利地影響銅的整體電阻率。發明者已觀察到需要找到積體電路互連中銅的替代材料。本發明方法的實施例可有利地利用鎳矽化物(NiSi)材料對包括毯覆基板表面或高深寬比特徵的基板表面提供連續覆蓋,該等鎳矽化物(NiSi)材料具有改良的導電性或低電阻率,及/或小於10奈米(nm)的eMFP。
第1圖繪示了根據本揭示案的一些實施例的用於在基板200上形成鎳矽化物材料的方法100的流程圖。方法100在下文中針對填充高深寬比特徵的各個階段進行說明性的描述,如第2A圖、第2B圖及第2C圖所示。然而,鎳矽化物材料可形成為基板上方或頂部的片材或毯覆層,例如,不具有諸如高深寬比特徵的特徵。在一些實施例中,鎳矽化物材料可形成為基板頂部的片材或毯覆層,且經受額外製程流程,如蝕刻、填充及/或封蓋。方法100可在具有脈衝DC及射頻(radio frequency; RF)電源的任何合適的PVD處理腔室中執行,如下文描述及第3圖中繪示的處理腔室300。
方法100從110開始,在諸如室溫或約15℃至約27℃或約20℃至25℃的溫度下,在基板200頂部沉積第一鎳矽化物種晶層220。例如,可將基板200(如第2A圖所示)可提供至PVD腔室,如處理腔室300(第3圖)。在實施例中,基板200包括高深寬比開口,如形成在基板200的第一表面204中且朝向基板200的相對第二表面206延伸到基板200中的開口202。基板200可為任何合適的基板,包括但不限於其上形成有高深寬比開口的基板。例如,基板200可包括矽(Si)、氧化矽(SiO2 )、氮化矽(SiN)或其他介電材料中的一或更多者。在實施例中,基板可包括薄金屬層,如氮化鈦(TiN)、氮化鉭(TaN)或其他。此外,基板200可包括額外材料層,或者可在其中或其上形成有一或更多個完整或部分完整的結構。
開口202可為具有高深寬比的任何開口,如用於形成通孔、溝槽、雙鑲嵌結構等。在一些實施例中,開口202可具有至少約5:1的高寬比(例如,高深寬比)。例如,在一些實施例中,深寬比可為約10:1或更大,如約15:1或更大。開口202可藉由使用任何合適的蝕刻製程蝕刻基板來形成。如圖所示,開口202包括底表面208及側壁210。
在一些實施例中,如下所述,在沉積鎳矽化物原子之前,可利用一或更多層覆蓋底表面208及側壁210。例如,如第2A圖中虛線所示,開口202的底表面及側壁及基板200的第一表面可被介電層或氧化物層212覆蓋,如氧化矽(SiO2 )、(Si)、(SiN)或其他介電材料。在將基板200提供至PVD腔室之前,可例如在化學氣相沉積(chemical vapor deposition; CVD)腔室或氧化腔室中沉積或生長氧化物層。介電層或氧化物層212可充當基板與隨後將沉積在開口中的含鎳矽化物層之間的電及/或實體障壁,且/或可充當下文論述的沉積製程期間比基板的天然表面更佳的附著表面。在實施例中,氧化物以外的材料可替代氧化物層212。
在一些實施例中,若不存在氧化物層,則障壁層214可沉積在氧化物層212的頂部(如圖所示),或者介電層的頂部,或者開口的底表面及側壁及基板的第一表面的頂部。障壁層214可起到與上述介電層或氧化物層212類似的作用。在一些實施例中,障壁層214可包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或其他中的至少一者。障壁層214可藉由任何合適的方法沉積,如藉由CVD或PVD,包括藉由使用下文描述的方法100在開口202中形成連續障壁層。
在一些實施例中,如第2A圖中的虛線所示,開口202可完全延伸穿過基板200,並且第二基板218的表面216可形成開口202的底表面208。第二基板218可設置鄰近於基板200的第二表面206。此外(如第2D圖所示及下文論述的),諸如邏輯元件等的元件,或者需要電連接的元件的一部分,如閘極、接觸墊、導電通孔等可設置在第二基板218的表面216中且與開口202對準。
在110處,第一鎳矽化物種晶層在基板200上的沉積可發生在PVD腔室中,在該腔室中,射頻功率(如來自射頻電源318,如下所述)以特高頻(VHF)施加到包括設置在基板200上方的鎳矽化物的靶材,以利用電漿成形氣體形成電漿。例如,靶材可為下文論述的靶材306。此外,靶材可包括適於在開口202的表面及基板200的第一表面204上形成第一鎳矽化物種晶層220的鎳矽化物合金等中之一或更多者。例如,靶材可包括比率為1±x:1(x=0.01至0.1)的鎳、矽或鎳矽化物中的一或更多者。諸如金屬之其他成分可以小於總靶材重量的5%的量存在,如鈦(Ti)、鉭(Ta)、銅(Cu)、鋁(Al)等。電漿成形氣體可包括惰性氣體,如稀有氣體或其他惰性氣體。例如,合適的電漿成形氣體的非限制性實例可包括氬(Ar)、氦(He)、氙(Xe)、氖(Ne)、氫(H2 )等。在實施例中,電漿成形氣體是氬,其具有約5-15 sccm的流速,如10 sccm、11 sccm或12 sccm。
在110處,第一鎳矽化物種晶層220在基板200上的沉積可發生在PVD腔室中,在該腔室中可以特高頻施加射頻功率,用於由電漿成形氣體形成電漿及由電漿游離從靶材濺射的金屬原子中的一或更多者。如本文所使用的,特高頻是在從約27 MHz到約100 MHz範圍內的頻率。在一些實施例中,所施加的特高頻為約60 MHz。例如,增大特高頻可增大從靶材濺射的鎳矽化物原子的電漿密度及/或游離量。在一些實施例中,射頻功率可以0.5至3 kW的功率施加,例如1 kW、2 kW或3 kW。
在110處,基板200頂部的第一鎳矽化物種晶層的沉積可發生在PVD腔室中,在該腔室中,例如從耦合到靶材306的脈衝DC電源320,可將脈衝DC功率施加到靶材306,以將電漿引向靶材306,如下所述。DC功率的範圍可從約1 kW到約4 kW。在一些實施例中,脈衝DC功率可為約1-4 kW,或者約2 kW、3 kW或4 kW。在實施例中,施加脈衝DC功率以降低第一鎳矽化物種晶層220的電阻率。可調節脈衝DC功率來控制濺射的鎳矽化物原子在基板200上的沉積速率。例如,增大脈衝DC功率可導致電漿與靶材的相互作用增大,及鎳矽化物原子從靶材306濺射增大,或者鎳矽化物晶粒尺寸增大。
在110處,第一鎳矽化物種晶層在基板200上的沉積可發生在PVD腔室中,在該腔室中,鎳矽化物原子使用電漿從靶材306濺射,同時在PVD腔室中保持足以使從靶材濺射的鎳矽化物原子的主要部分游離的第一壓力。例如,鎳矽化物原子的主要部分可在電漿濺射的鎳矽化物原子總數的約30%至約70%的範圍內。除了施加的第一射頻功率及脈衝DC功率之外,第一壓力可取決於處理腔室幾何形狀(如基板尺寸、靶材到基板的距離等)。例如,在配置有約60至90 mm的靶材與基板間隙的腔室中,第一壓力可在約0.2至約100 mTorr的範圍內。在一些實施例中,第一壓力為約0.5至約5 mTorr或0.5 mTorr。腔室中的第一壓力可由電漿成形氣體的流速及/或可與電漿成形氣體共流的額外氣體(如惰性氣體)的流速來維持。第一壓力可在靶材與基板之間提供高密度的氣體分子,濺射的鎳矽化物原子可與該氣體分子碰撞並經游離。壓力可額外用於控制從靶材306濺射的鎳矽化物原子的游離量。例如,增大靶材306到基板間隙中的壓力可增加與金屬原子碰撞的次數,並增加游離鎳矽化物原子的量。
在110處,在一個實施例中,第一鎳矽化物種晶層220在基板200頂部的沉積可在氬電漿下的PVD腔室中發生,流速為約11 sccm,製程壓力為約0.5 mT,功率為約1-4 kW脈衝DC或1 kW射頻。在110處,在一個實施例中,第一鎳矽化物種晶層220在基板200上的沉積可在氬電漿下的PVD腔室中進行,流速為11 sccm,製程壓力為0.5 mT,功率為1-4 kW脈衝DC。
在110處,第一鎳矽化物種晶層220在基板200頂部的沉積可發生在PVD腔室中,其中第一複數個鎳矽化物原子221沉積在基板200的第一表面204及開口202的底表面208上,如第2B圖所示。第一複數個鎳矽化物原子221可使用上述處理條件沉積,如第一壓力、第一射頻功率、脈衝DC功率及/或特高頻或射頻(RF)頻率。如第2B圖所示,此種處理條件可促進第一複數個鎳矽化物原子221的方向近似垂直於基板200。在一些實施例中,在沉積第一複數個鎳矽化物原子221期間,可將可選的射頻功率施加到基板200(例如,施加到設置在基板200下方的電極,如基板支撐件內)。射頻功率(亦稱為射頻偏壓功率)可以約0.5至約13.56 MHz的頻率及高達50 W或約5至50 W的功率施加。在一些實施例中,若另一射頻功率源額外地耦合到PVD腔室的基板支撐基座(或包含在其中的電極),射頻偏壓功率的頻率可為約2 MHz及/或約13.56 MHz。沉積第一複數個鎳矽化物原子221時提供的可選射頻偏壓功率可很小,以使沉積鎳矽化物原子的能量降至最低。
在一些實施例中,在110處,將第一射頻功率(在本文中亦稱為第一射頻偏壓功率)施加到設置在基板200下方的電極,以將第一複數個鎳矽化物原子中的至少一些從開口202的底表面208重新分佈到開口202的側壁210。第一射頻偏壓功率可以約2至約13.56 MHz的頻率施加。例如,在一些實施例中,若可選的第二射頻偏壓電源(第3圖中示出的第二射頻偏壓電源363)如第3圖所示及下文所述額外地耦合到基板支撐基座302,則第一射頻偏壓電源的頻率可為約2 MHz及/或13.56 MHz。第一射頻偏壓功率可用於增大離子能量及/或入射到基板200上的離子入射角。例如,入射到基板200上的離子可包括游離的鎳矽化物原子、來自電漿的游離元素或其組合。如第2B圖所示,可增大第一射頻偏壓功率以增大離子能量,例如增大離子對開口202的底表面208上沉積的鎳矽化物原子的影響。離子對開口底表面208的增大的影響可促進金屬原子的第一複數個金屬原子中的至少一些重新分佈到側壁210上,如圖所示。如上所述,第一射頻偏壓功率可顯著大於在沉積第一複數個鎳矽化物原子221期間施加的可選射頻偏壓功率。例如,第一射頻偏壓功率可大於約50 W,或者在約0至約200 W的範圍內。在一些實施例中,第一射頻偏壓功率為約50 W。
在一些實施例中,在同時使用第一射頻偏壓功率及第二射頻偏壓功率的情況下,第一射頻偏壓功率可用於控制基板200附近的離子能量,而第二射頻偏壓功率可用於控制基板200附近的離子能量分佈。
在一些實施例中,在110處,用於沉積第一鎳矽化物種晶層的溫度是室溫,或約20℃至約25℃、22℃、23℃、24℃或25℃。
在一些實施例中,在110處,第一鎳矽化物種晶層220形成為預定厚度。在實施例中,第一鎳矽化物種晶層220的厚度為約10埃至100埃、20埃至60埃、30埃至50埃、35埃至45埃、約20埃、約25埃、約30埃、約35埃、約40埃、約50埃、約60埃。在實施例中,第一鎳矽化物種晶層220的厚度為鎳矽化物總厚度的約30%至70%,例如,100埃鎳矽化物膜總厚度的50埃。
在一些實施例中,在110處,第一鎳矽化物種晶層220以多晶為特徵。
返回參看第1圖,在120處,在實施例中,例如在基板200頂部形成第一鎳矽化物種晶層220之後,在350℃以上的溫度下進行第一鎳矽化物種晶層220的退火,如原位退火。在實施例中,例如在基板200頂部形成第一鎳矽化物種晶層220之後,在400℃或低於400℃的溫度下進行第一鎳矽化物種晶層220的退火,如原位退火。例如,可在約355℃至400℃、375℃至405℃或約400℃的溫度下對第一鎳矽化物種晶層220進行退火。在實施例中,沉積後退火(如快速熱退火(rapid thermal anneal; RTA)或動態表面退火(dynamic surface anneal; DSA))使得基板200頂部的第一鎳矽化物種晶層220的種晶粒度更大,且在實施例中沿著縱向更長。在一些實施例中,退火可在上述溫度下進行足夠長的歷時,以增大第一鎳矽化物種晶層220的種晶粒度的晶粒尺寸,如增大至足夠的尺寸,或預定的尺寸,如200奈米、1微米或更大。在一些實施例中,退火可在上述溫度下進行足夠長的歷時,以增大第一鎳矽化物種晶層220的種晶粒度的晶粒尺寸,如沿著水平中軸、垂直中軸及/或縱向中軸增大到足夠的尺寸或預定的尺寸,如200奈米、1微米或更大。在實施例中,基板200可移動到適於退火的腔室中,如在熱處理腔室中,或者退火可在原位進行,如在包括熱處理腔室的整合系統中,在該系統中,腔室之間的移送在真空下進行。
在一些實施例中,退火之後,允許基板200冷卻至較低的溫度,如室溫。
返回參看第1圖,在130處,在實施例中,在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層220頂部沉積第二鎳矽化物層240,以形成鎳矽化物材料250。在實施例中,沉積130的條件與上文110關於110的條件相同。例如,在130處,第二鎳矽化物層在第一鎳矽化物種晶層220頂部的沉積可發生在PVD腔室中,在該腔室中,使用電漿從靶材306濺射鎳矽化物原子,同時在PVD腔室中保持足以使從靶材濺射的鎳矽化物原子的主要部分游離的第一壓力。在一個實施例中,第二鎳矽化物層240在第一鎳矽化物種晶層220頂部的沉積可在氬電漿下的PVD腔室中發生,流速為約11 sccm,製程壓力為約0.5 mT,功率為1-4 kW脈衝DC或約1 kW射頻。在130處,在一個實施例中,第二鎳矽化物層240在第一鎳矽化物種晶層220上的沉積可在氬電漿下的PVD腔室中發生,流速為11 sccm,製程壓力為0.5 mT,功率為1-4 kW脈衝DC。在實施例中,在130處,鎳矽化物原子的主要部分可在電漿濺射的鎳矽化物原子總數的約30%至約70%的範圍內。除了施加的第一射頻功率及脈衝DC功率之外,第一壓力可取決於處理腔室幾何形狀(如基板尺寸、靶材到基板的距離等)。例如,在配置有約60至90 毫米(mm)的靶材與基板間隙的腔室中,第一壓力可在約0.5至約140 mTorr的範圍內。在一些實施例中,第一壓力為約0.5 mTorr。腔室中的第一壓力可藉由電漿成形氣體的流速及/或可與電漿成形氣體共流的額外氣體(如惰性氣體)的流速來維持。第一壓力可在靶材與基板之間提供高密度的氣體分子,濺射的鎳矽化物原子可與該等氣體分子碰撞並經游離。壓力可額外用於控制從靶材306濺射的鎳矽化物原子的游離量。例如,增大靶材306與基板間隙中的壓力可增加與金屬原子碰撞的次數,並增大游離鎳矽化物原子的量。
在130處,第一鎳矽化物種晶層220上的第二鎳矽化物層240的沉積可發生在PVD腔室中,在該腔室中第二複數個鎳矽化物原子沉積在第一鎳矽化物種晶層220的上表面225上,如第2C圖所示。可使用上文論述的處理條件,如第一壓力、第一射頻功率、脈衝DC功率及/或特高頻,沉積複數個鎳矽化物原子。在一些實施例中,在沉積第一複數個鎳矽化物原子221期間,可將可選的射頻功率施加到基板200(例如,施加到設置在基板200下方的電極,如基板支撐件內)。射頻功率(亦稱為射頻偏壓功率)可以約2至約13.56 MHz的頻率及高達約50 W的功率施加。在一些實施例中,若另一射頻功率源額外地耦合到PVD腔室的基板支撐基座(或包含在其中的電極),則射頻偏壓功率的頻率可為約2 MHz及/或約13.56 MHz。沉積第一複數個鎳矽化物原子221之同時提供的可選射頻偏壓功率可很小,以將沉積鎳矽化物原子的能量降至最低。
在一些實施例中,在130處,第二射頻功率(在本文中亦稱為第二射頻偏壓功率)被施加至設置在基板200下方的電極。第二射頻偏壓功率可在約2至約13.56 MHz的頻率範圍內施加。例如,在一些實施例中,若可選的第二射頻偏壓電源(第3圖中示出的第二射頻偏壓電源363)如第3圖所示及下文所述額外地耦合到基板支撐基座302,則第一射頻偏壓電源的頻率可為約2 MHz及/或13.56 MHz。第二射頻偏壓功率可用於增大離子能量及/或入射到基板200上的離子入射角。在一些實施例中,在同時使用第一射頻偏壓功率及第二射頻偏壓功率的情況下(如上所述),第一射頻偏壓功率可用於控制基板200附近的離子能量,且第二射頻偏壓功率可用於控制基板200附近的離子能量分佈。
在一些實施例中,在130處,用於沉積第二鎳矽化物種晶層的溫度是室溫,或約20℃至約25℃、22℃、23℃、24℃或25℃。
在一些實施例中,在130處,第二鎳矽化物層240形成達預定的厚度。在實施例中,第二鎳矽化物層240具有總鎳矽化物厚度的約30%至70%的厚度,例如,100埃鎳矽化物膜的總厚度中的50埃。在實施例中,第二鎳矽化物層240具有約50埃至200埃、50埃至175埃、50埃至150埃、約50埃、約60埃、約70埃、約80埃、約100埃、約125埃、約150埃或約175埃的厚度。
在130處形成第二鎳矽化物層240之後,方法100可結束,或者基板200可繼續進行進一步處理,例如藉由在第二鎳矽化物層240頂部沉積封蓋層260來填充開口202,如第2C圖所示。封蓋層260材料可藉由任何合適的技術沉積,如原位技術,以防止氧化。封蓋層260的材料可包括金屬、金屬合金等。在一些實施例中,該材料包括銅(Cu)或鎢(W)或氮化鈦(TiN)中的一或更多者。在一個實施例中,封蓋層260包括沉積在第二鎳矽化物層240頂部的TiN。進一步處理的另一個實例可選地包括對基板200的第二次退火。在一些實施例中,第二次退火的特徵在於非原位。在實施例中,第二次退火可在約300至400℃,如350℃的溫度下,在約20巴的壓力下進行約10分鐘。第二次退火可在例如退火室中進行。在實施例中,第二次退火在熱處理腔室中進行,該腔室適於在整合系統中進行退火,該整合系統適於將基板保持在真空下。
在實施例中,鎳矽化物材料250被形成為具有預定的薄片電阻。例如,在實施例中,在10奈米的厚度下,鎳矽化物材料250具有20至50歐姆/平方、20至40歐姆/平方、20至30歐姆/平方、20至30歐姆/平方、20歐姆/平方、21歐姆/平方、22歐姆/平方、23歐姆/平方、24歐姆/平方、25歐姆/平方、26歐姆/平方、27歐姆/平方、28歐姆/平方、29歐姆/平方、30歐姆/平方、35歐姆/平方,或40歐姆/平方的量的薄片電阻。
現在參考第2D圖,在一些實施例中,且如以上參考第2A圖所論述的,在執行上述110-130之前,可能已經提供了第二基板218。因此,如第2D圖所示,第二基板218可設置以鄰近基板200的第二表面206,其中開口202完全延伸穿過基板200,且第二基板218的表面216形成開口202的底表面。此外,元件或導電特徵234可設置在第二基板中並在表面216處曝露,其中元件或導電特徵234與開口202對準。基板200的第一表面204可被進一步處理以移除沉積的鎳矽化物原子。例如,如第2D圖所示,化學機械拋光技術、蝕刻等可用於從第一表面204移除沉積的鎳矽化物原子。
在一些實施例中,在如上所述沉積材料250之後,可從基板200的第二表面206移除材料,以曝露至少一個第一層230或沉積的鎳矽化物材料250(第一層230在第2D圖中示出為曝露)。可藉由化學機械拋光或如上所述用於從基板200的第一表面204移除沉積金屬的類似技術來執行從第二表面206的材料移除。
在從第二表面206移除材料以曝露至少一個第一層230或沉積材料232之後,基板200的第二表面206可耦合到第二基板218的表面216。在一些實施例中,在第二基板218的表面216處曝露的元件或導電特徵234可與基板200中的開口202對準。
第3圖繪示了根據本揭示案的一些實施例的物理氣相沉積腔室(處理腔室300)的橫截面示意圖。合適的PVD腔室的實例包括MAGNUM®或ROSWELL®多陰極處理腔室、ALPS® Plus及SIP ENCORE® PVD處理腔室,所有該等腔室均可從加利福尼亞州聖克拉拉的應用材料公司購得。來自應用材料公司或其他製造商的其他處理腔室亦可受益於本文揭示的發明設備。
處理腔室300包含用於在其上接收基板304的基板支撐基座302,及濺射源,如靶材306。基板支撐基座302可位於接地室壁308內,接地室壁308可為腔室壁(如圖所示)或接地遮罩,如覆蓋處理腔室300中靶材306上方至少一些部分的接地遮罩340。在一些實施例中,接地遮罩340可延伸到靶材下方,以包圍基板支撐基座302。
在一些實施例中,處理腔室包括用於將射頻及脈衝DC能耦合到靶材306的饋送結構。饋送結構是用於將射頻及脈衝DC能耦合到例如靶材或包含靶材的組件的裝置,如本文所述。饋送結構的第一端可耦合到射頻電源318及脈衝DC電源320,該等電源可分別用於向靶材306提供射頻及脈衝DC能。例如,DC電源320可用於向靶材306施加負電壓或偏壓。在一些實施例中,由射頻電源318提供的射頻能可適合於提供如上所述的頻率,或者其頻率範圍從約2 MHz到約60 MHz,或者例如,可使用諸如2 MHz、13.56 MHz、27.12 MHz或60 MHz的非限制性頻率。在一些實施例中,可提供複數個射頻電源(亦即,兩個或更多個)以提供複數個上述頻率的射頻能。饋送結構可由合適的導電材料製成,以傳導來自射頻電源318及脈衝DC電源320的射頻及脈衝DC能。
在一些實施例中,饋送結構可具有合適的長度,從而有助於圍繞饋送結構的周邊基本均勻地分配相應的射頻及脈衝DC能。例如,在一些實施例中,饋送結構可具有約1至約12英吋或者約4英吋之間的長度。在一些實施例中,主體可具有至少約1:1的長度與內徑之比。至少1:1或更長的比率提供了來自饋送結構的更均勻的射頻傳遞(亦即,射頻能更均勻地圍繞饋送結構分佈,以近似射頻耦合到饋送結構的真實中心點)。饋送結構的內徑可儘可能小,例如從約1英吋到約6英吋,或者直徑約4英吋。提供較小的內徑有助於在不增加饋送結構長度的情況下提高長度與內徑(ID)之比。
饋送結構的第二端可耦合到源分配板322。源分配板包括穿過源分配板322設置的孔324,且該孔與饋送結構的中心開口對齊。源分配板322可由合適的導電材料製成,以傳導來自饋送結構的射頻及脈衝DC能。
源分配板322可藉由導電構件325耦合到靶材306。導電構件125可為管狀構件,其第一端326耦合到源分配板322的面向靶材的表面328,該表面靠近源分配板322的周邊邊緣。導電構件325進一步包括第二端330,該第二端330耦合到靶材306的面向源分配板的表面332(或者耦合到靶材306的底板346),該表面靠近靶材306的周邊邊緣。
空腔334可由導電構件325的面向內部的壁、源分配板322的面向靶材的表面328及靶材306的面向源分配板的表面332限定。空腔334藉由源分配板322的孔324流體耦合到主體的中心開口315。空腔334及主體的中心開口315可用於至少部分容納可旋轉磁控管組件336的一或更多個部分,如第3圖所示,且如下文進一步描述。在一些實施例中,空腔可至少部分填充冷卻流體,例如水(H2 O)等。
可提供接地遮罩340來覆蓋處理腔室300的蓋的外表面。接地遮罩340可例如藉由腔室主體的接地連接件來耦合接地。接地遮罩340具有中心開口,以允許饋送結構穿過接地遮罩340,從而耦合到源分配板322。接地遮罩340可包括任何合適的導電材料,如鋁、銅等。在接地遮罩340與源分配板322、導電構件325及靶材306(及/或底板346)的外表面之間提供絕緣間隙339,以防止射頻及脈衝DC能直接路由到接地。絕緣間隙可填充有空氣或一些其他合適的介電材料,如陶瓷、塑膠等。
在一些實施例中,接地環可圍繞饋送結構的主體及下部設置。接地環耦合到接地遮罩340,且可為接地遮罩340的一體部分或者耦合到接地遮罩的獨立部分,以提供饋送結構的接地。接地環可由合適的導電材料製成,如鋁或銅。在一些實施例中,設置在接地環的內徑與饋送結構主體的外徑之間的間隙可保持最小,且剛好足以提供電隔離。該間隙可填充有隔離材料,如塑膠或陶瓷,或者可為空氣間隙。接地環防止射頻饋送(例如,下文論述的電饋送205)與主體之間的串擾,從而改善電漿及處理的均勻性。
隔離板338可設置在源分配板322與接地遮罩340之間,以防止射頻及脈衝DC能直接路由到接地。隔離板338具有中心開口,以允許饋送結構穿過隔離板338並耦合到源分配板322。隔離板338可包括合適的介電材料,如陶瓷、塑膠等。或者,可提供氣隙來代替隔離板338。在提供氣隙來代替隔離板的實施例中,接地遮罩340可在結構上足夠堅固,以支撐放置在接地遮罩340上的任何部件。
靶材306可藉由介電隔離體344支撐在接地的導電鋁適配器上,如342。靶材306包括濺射期間待沉積在基板304上的材料,如鎳矽化物。在一些實施例中,底板346可耦合到靶材306的面向源分配板的表面332。底板346可包括導電材料,如鎳矽化物,或者與靶材相同的材料,使得射頻及脈衝DC功率可藉由底板346耦合到靶材306。或者,底板346可為非導電的,且可包括導電元件(未示出),如電饋通等,用於將靶材306的面向源分配板的表面332耦合到導電構件325的第二端330。例如,可包括底板346,以提高靶材306的結構穩定性。
基板支撐基座302具有面向靶材306的主表面的材料接收表面,且在與靶材306的主表面相對的平面位置支撐待濺射塗覆的基板304。基板支撐基座302可在處理腔室300的中心區域348中支撐基板304。中心區域348被定義為處理期間基板支撐基座302上方的區域(例如,當處於處理位置時,在靶材306與基板支撐基座302之間)。
在一些實施例中,基板支撐基座302可藉由連接到底部室壁352的波紋管350垂直移動,以允許基板304藉由處理處理腔室300的下部中的裝載閘閥(未示出)被移送到基板支撐基座302上,且隨後升高至沉積或處理位置。一或更多種處理氣體可從氣體源354藉由質量流量控制器356供應到處理腔室300的下部。可提供排氣口358,且該排氣口358藉由閥360耦接到幫浦(未示出),用於排出處理腔室300的內部氣體,且有助於保持處理腔室300內部的期望壓力。
射頻偏壓電源362可耦合到基板支撐基座302,以便在基板304上誘導負DC偏壓。此外,在一些實施例中,在處理期間,負DC自偏壓可形成在基板304上。例如,由射頻偏壓電源362提供的射頻功率的頻率範圍可從約2 MHz至約60 MHz,例如,可使用諸如2 MHz、13.56 MHz或60 MHz的非限制性頻率。此外,第二射頻偏壓電源可耦合到基板支撐基座302,且提供上文論述的與射頻偏壓電源362一起使用的任何頻率。在其他應用中,基板支撐基座302可接地或保持電浮動。例如,電容調諧器364可耦合到基板支撐基座,用於調節基板304上的電壓,用於可能不需要射頻偏壓功率的應用。
可旋轉磁控管組件336可位於靶材306的後表面(例如,面向源分配板的表面332)附近。可旋轉磁控管組件336包括由基底板368支撐的複數個磁體366。基底板368連接到旋轉軸370,旋轉軸370與處理腔室300及基板304的中軸重合。馬達372可耦接到旋轉軸370的上端,以驅動磁控管組件336的旋轉。磁體366在處理腔室300內產生磁場,該磁場大體平行於並靠近靶材306的表面,以俘獲電子並增大區域電漿密度,此又增大濺射速率。磁體366在處理腔室300的頂部周圍產生電磁場,且磁體366旋轉以旋轉電磁場,該電磁場影響製程的電漿密度,從而更均勻地濺射靶材306。例如,旋轉軸370可每分鐘旋轉約0至約150圈。
在一些實施例中,處理腔室300可進一步包括連接到適配器342的凸緣376的處理套件遮罩374。適配器342又被密封並接地到鋁室側壁,如腔室壁308。大體上,處理套件遮罩374沿著適配器342的壁及腔室壁308向下延伸到基板支撐基座302的上表面下方,並向上返回,直到到達基板支撐基座302的上表面(例如,在底部形成u形部分384)。或者,處理套件遮罩的最底部不需要是u形部分384,且可具有任何合適的形狀。當基板支撐基座302處於下部裝載位置時,蓋環386擱置在處理套件遮罩374的向上延伸的唇緣388的頂部,但當基板支撐基座302處於上部沉積位置時,蓋環386則擱置在基板支撐基座302的外周,以保護基板支撐基座302免於濺射沉積。額外的沉積環(未示出)可用於防止基板304的周邊發生沉積。根據本揭示案,下文論述處理套件遮罩的實施例。
在一些實施例中,磁體390可圍繞處理腔室300設置,用於選擇性地在基板支撐基座302與靶材306之間提供磁場。例如,如第3圖所示,當處於處理位置時,磁體390可在剛好位於基板支撐基座302上方的區域中圍繞腔室壁308的外部設置。在一些實施例中,磁體390可額外地或替代地設置在其他位置,如鄰近適配器342。磁體390可為電磁體,且可耦合至電源(未示出),用於控制電磁體產生的磁場的大小。
控制器310可被提供並耦合到處理腔室300的各種部件,以控制其操作。控制器310包括中央處理單元(central processing unit; CPU) 312、記憶體314及支援電路316。控制器310可直接控制處理腔室300,或者藉由與特定處理腔室及/或支援系統部件相關聯的電腦(或控制器)來控制處理腔室300。控制器310可為任何形式的通用電腦處理器中的一種,其可在工業環境中用於控制各種腔室及子處理器。控制器310的記憶體或電腦可讀媒體434可為可方便獲得記憶體中之一或更多者,如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read only memory; ROM)、軟碟、硬碟、光儲存媒體(例如,光碟或數位視訊光碟)、快閃記憶體驅動器,或任何其他形式的本端或遠端數位儲存器。支援電路316耦合到CPU 312,用於以習用方式支援處理器。該等電路包括快取記憶體、電源、時鐘電路、輸入/輸出電路系統及子系統等。本文所述的發明方法可作為軟體常式儲存在記憶體314中,該軟體常式可被執行或調用來以本文所述的方式控制處理腔室300的操作。軟體常式亦可由位於正在受CPU 312控制的硬體的遠端的第二CPU(未示出)儲存及/或執行。
在一些實施例中,本揭示案係關於經配置用於在基板上形成鎳矽化物材料的物理氣相沉積腔室。例如,在實施例中,物理氣相沉積腔室被配置用於在約15℃至約27℃的溫度下在基板頂部沉積第一鎳矽化物種晶層;且/或在約15℃至約27℃的溫度下在第一鎳矽化物種晶層頂部沉積第二鎳矽化物層,以形成鎳矽化物材料。
因此,本文提供了用於在基板上形成的高深寬比特徵中沉積金屬的方法。本發明方法有利地用金屬連續覆蓋高深寬比特徵的表面,同時減少可能導致在高深寬比特徵中形成空隙的突出物或小平面。本發明方法可用於矽穿孔(through silicon via; TSV)應用,例如,用於最先通孔或或最後通孔的製造方法,及沉積連續金屬層可為有利的其他合適的應用。
現參考第4圖,該圖圖示降低鎳矽化物材料電阻率的方法400。方法400包括:a)在410處,在約15℃至約27℃的溫度下,在基板頂部沉積鎳矽化物層;b)在420處,在超過350℃的溫度下,或者在實施例中,在400℃或更低的溫度下,對第一鎳矽化物種晶層進行退火;及c)在430處重複a) (410)及b) (420),直到鎳矽化物材料具有預定的厚度。在實施例中,製程條件與上文關於方法100描述的條件相同。在實施例中,可進一步處理基板,如藉由在第二鎳矽化物層頂部沉積TiN封蓋層。在實施例中,沉積鎳矽化物層的溫度為約20℃至約25℃、22℃、23℃、24℃或25℃。在實施例中,鎳矽化物種晶層具有約20埃至60埃、約30埃至50埃、約35埃至45埃、約20埃、約25埃、約30埃、約35埃、約40埃、約50埃、約60埃的厚度。在一些實施例中,藉由在物理氣相沉積製程中將基板曝露於鎳矽化物來沉積第一鎳矽化物種晶層及第二鎳矽化物層。在實施例中,使用包括鎳及矽靶材的物理氣相沉積製程形成第一鎳矽化物種晶層及第二鎳矽化物層,該靶材具有約1:1的鎳矽比率。在一些實施例中,鎳矽化物材料具有20至50歐姆/平方、20至40歐姆/平方、20至30歐姆/平方、20至35歐姆/平方、20歐姆/平方、20歐姆/平方、21歐姆/平方、22歐姆/平方、23歐姆/平方、24歐姆/平方、25歐姆/平方、26歐姆/平方、27歐姆/平方、28歐姆/平方、29歐姆/平方、30歐姆/平方、35歐姆/平方,或40歐姆/平方的量的薄片電阻。
在一些實施例中,本揭示案係關於物理氣相沉積腔室,其被配置用於降低鎳矽化物材料的電阻率。例如,在實施例中,物理氣相沉積腔室被配置用於在約15℃至約27℃的溫度下在基板頂部沉積鎳矽化物層,直到鎳矽化物材料具有預定厚度。
現參考第5圖,該圖圖示在基板上形成互連的方法500。實施例包括,在510處,在約15℃至約27℃的溫度下,在基板上物理氣相沉積第一鎳矽化物種晶層;在超過350℃的溫度下對第一鎳矽化物種晶層進行退火520;且在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層頂部物理氣相沉積530第二鎳矽化物層,以形成鎳矽化物材料。在一些實施例中,在約15℃至約27℃的溫度下,在基板上物理氣相沉積第一鎳矽化物種晶層;在400℃或更低的溫度下對第一鎳矽化物種晶層進行退火520;且在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層頂部物理氣相沉積530第二鎳矽化物層,以形成鎳矽化物材料。
在一些實施例中,本揭示案係關於被配置用於在基板上形成互連的物理氣相沉積腔室。例如,在實施例中,物理氣相沉積腔室被配置用於在約15℃至約27℃的溫度下在基板上物理氣相沉積第一鎳矽化物種晶層,且/或在約15℃至約27℃的溫度下在第一鎳矽化物種晶層頂部物理氣相沉積第二鎳矽化物層,以形成鎳矽化物材料。
第6圖是示例性整合系統600的俯視示意圖,該系統包括至少一個沉積處理腔室300,如根據本揭示案配置的處理腔室。在一些實施例中,整合系統600可為CENTURA®整合處理系統,該系統可從位於加利福尼亞州聖克拉拉的應用材料公司購得。其他處理系統(包括來自其他製造商的彼等系統)可經調適以從本揭示案中受益。
在一些實施例中,整合系統600包括真空密封處理平臺,如處理平臺604、工廠介面602及系統控制器644。處理平臺604包括至少一個沉積處理腔室300、根據本揭示案配置用於退火的至少一個熱處理腔室601,及可選地複數個處理腔室628、620、610及至少一個耦合到真空基板移送室(如移送室636)的裝載閘室622。第6圖中示出了兩個裝載閘室622。工廠介面602藉由裝載閘室622耦合到移送室636。
在一個實施例中,工廠介面602包括至少一個塢站608及至少一個工廠介面機器人614,以便於基板的移送。塢站608被配置以接受一或更多個前開式統一晶圓盒(front opening unified pod; FOUP)。在第6圖的實施例中示出了兩個FOUP 606A-B。具有設置在工廠介面機器人614一端上的刀片616的工廠介面機器人614被配置為將基板從工廠介面602移送至處理平臺604,用於藉由裝載閘室622進行處理。可選地,根據本揭示案配置用於退火的一或更多個處理腔室610、620、628、至少一個沉積處理腔室300、至少一個熱處理腔室601可連接到工廠介面602的端子626,以便於處理來自FOUP 606A-B的基板
每個裝載閘室622具有耦合到工廠介面602的第一埠及耦合到移送室636的第二埠。裝載閘室622耦合到壓力控制系統(未示出),該壓力控制系統向下泵送並為裝載閘室622排氣,以便於在移送室636的真空環境與工廠介面602的大體上周圍(例如大氣)環境之間遞送基板。
移送室636中設置有真空機器人630。真空機器人630具有能夠在裝載閘室622、至少一個沉積處理腔室300、根據本揭示案配置用於退火的至少一個熱處理腔室601與處理腔室610、620及628之間移送基板的刀片634。
在整合系統600的一些實施例中,整合系統600可包括至少一個沉積處理腔室300及其他處理腔室610、620、628,至少一個熱處理腔室601被配置用於根據本揭示案進行退火。在一些實施例中,處理腔室610、620、628可為沉積腔室、蝕刻室、熱處理腔室或其他類似類型的半導體處理腔室。
系統控制器644耦合到整合系統600。系統控制器644可包括計算裝置641或被包括在計算裝置441內,該系統控制器644使用對處理腔室610、620、628、至少一個沉積處理腔室300、至少一個根據整合系統600的本揭示案而被配置用於退火的熱處理腔室601的直接控制來控制整合系統600的操作。或者,系統控制器644可控制與處理腔室610、620、628、至少一個沉積處理腔室300、至少一個根據本揭示案配置用於退火的熱處理腔室601及整合系統600相關聯的電腦(或控制器)。在操作中,系統控制器644亦賦能從各個腔室及處理腔室(例如至少一個沉積處理腔室300、至少一個根據本揭示案配置用於退火的熱處理腔室601)收集資料並得到回饋,以使整合系統600的效能最佳化。
系統控制器644大體包括中央處理單元(central processing unit; CPU) 638、記憶體640及支援電路642。CPU 638可為可在工業環境中使用的任何形式的通用電腦處理器中的一者。支援電路642通常耦合到CPU 638,且可包括快取記憶體、時鐘電路、輸入/輸出子系統、電源等。軟體常式將CPU 638轉換成專用電腦(系統控制器)644。軟體常式亦可由在整合系統600遠端定位的第二控制器(未示出)儲存及/或執行。可包括記憶體或CPU的電腦可讀媒體,其中包括可方便獲得記憶體中的一或更多者,如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read only memory; ROM)、軟碟、硬碟或任何其他形式的本端或遠端數位儲存器。
在一些實施例中,本揭示案包括整合系統,該系統包括:真空基板移送室;至少一個沉積處理腔室,該腔室根據本揭示案配置用以沉積;至少一個熱處理腔室,該腔室根據本揭示案配置用以退火,其中整合系統經配置以將基板從根據本揭示案配置用以沉積的至少一個沉積處理腔室移動至根據本揭示案配置為在真空下退火的至少一個熱處理腔室。在一些實施例中,本揭示案係關於一基板處理系統,該系統包括:物理氣相沉積腔室,該腔室被配置用於在約15℃至約27℃的溫度下在基板上物理氣相沉積第一鎳矽化物種晶層,且在約15℃至約27℃的溫度下在第一鎳矽化物種晶層頂部物理氣相沉積第二鎳矽化物層以形成鎳矽化物材料;及熱處理腔室,該腔室被配置用於在超過350℃的溫度下對第一鎳矽化物種晶層進行退火。在一些實施例中,基板處理系統進一步包括真空基板移送室,其中物理氣相沉積腔室耦合到真空基板移送室;且耦合到真空基板移送室的熱處理腔室,其中基板處理系統被配置成在真空下將基板從物理氣相沉積腔室移動到熱處理腔室。
在一些實施例中,本揭示案包括其上儲存有指令的電腦可讀媒體,該等指令在被執行時,使得整合系統執行在基板上形成鎳矽化物材料的方法,該方法包括:在約15℃至約27℃的溫度下在基板頂部沉積第一鎳矽化物種晶層;在高於350℃的溫度下對第一鎳矽化物種晶層進行退火;及在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層頂部沉積第二鎳矽化物層,以形成鎳矽化物材料。
在一些實施例中,本揭示案包括其上儲存有指令的電腦可讀媒體,該等指令在被執行時,使得整合系統執行降低鎳矽化物材料電阻率的方法,該方法包括:a)在約15℃至約27℃的溫度下在基板頂部沉積鎳矽化物層;b)在高於350℃的溫度下對鎳矽化物層進行退火;及c)重複a)及b),直到鎳矽化物材料具有預定厚度。
在一些實施例中,本揭示案包括其上儲存有指令的電腦可讀媒體,該等指令在被執行時,使得整合系統執行在基板上形成互連的方法,該方法包括:在約15℃至約27℃的溫度下在基板上物理氣相沉積第一鎳矽化物種晶層;在高於350℃的溫度下對第一鎳矽化物種晶層進行退火;及在約15℃至約27℃的溫度下,在第一鎳矽化物種晶層頂部物理氣相沉積第二鎳矽化物層,以形成鎳矽化物材料。
儘管前文針對本揭示案的實施例,但在不脫離本揭示案的基本範圍的情況下,可設計本揭示案的其他及更多實施例。
100:方法 110:步驟 120:步驟 130:步驟 200:基板 202:開口 204:第一表面 206:第二表面 208:底表面 210:側壁 212:氧化物層 214:障壁層 216:表面 218:第二基板 220:第一鎳矽化物種晶層 221:第一複數個鎳矽化物原子 230:第一層 232:沉積材料 234:元件|導電特徵 240:第二鎳矽化物層 250:鎳矽化物材料 260:封蓋層 300:處理腔室 302:基板支撐基座 304:基板 306:靶材 308:接地室壁 310:控制器 312:中央處理單元 314:記憶體 315:中心開口 316:支援電路 318:射頻電源 320:脈衝DC電源 322:源分配板 324:孔 325:導電構件 326:第一端 328:表面 330:第二端 332:表面 334:空腔 336:可旋轉磁控管組件 338:隔離板 339:絕緣間隙 340:接地遮罩 342:適配器 344:介電隔離體 346:底板 348:中心區域 350:波紋管 352:底部室壁 354:氣體源 356:質量流量控制器 358:排氣口 360:閥 362:射頻偏壓電源 363:第二射頻偏壓電源 364:電容調諧器 366:磁體 368:基底板 370:旋轉軸 372:馬達 374:處理套件遮罩 376:凸緣 384:u形部分 386:蓋環 388:唇緣 390:磁體 400:方法 410:步驟 420:步驟 430:步驟 500:方法 510:步驟 520:步驟 530:步驟 600:整合系統 601:熱處理腔室 602:工廠介面 604:處理平臺 606A:FOUP 606B:FOUP 608:塢站 610:處理腔室 614:工廠介面機器人 616:刀片 620:處理腔室 622:裝載閘室 626:端子 628:處理腔室 630:真空機器人 634:刀片 636:移送室 638:CPU 640:記憶體 641:計算裝置 642:支援電路 644:系統控制器
藉由參考附圖中描述的本揭示案的說明性實施例,可理解上文概述且下文更詳細論述的本揭示案實施例。然而,附圖僅示出了本揭示案的典型實施例,因此不應被視為對範疇的限制,因為本揭示案可承認其他同等有效的實施例。
第1圖繪示了根據本揭示案的一些實施例的用於處理基板的方法的流程圖。
第2A至2D圖繪示了根據本揭示案的一些實施例填充高深寬比開口的各個階段。
第3圖繪示了根據本揭示案的一些實施例的物理氣相沉積(physical vapor deposition; PVD)腔室的橫截面示意圖。
第4圖繪示了根據本揭示案的一些實施例的用於處理基板的方法的流程圖。
第5圖繪示了根據本揭示案的一些實施例在基板上形成互連的方法的流程圖。
第6圖繪示了根據本揭示案的一些實施例的包括第3圖的設備的處理工具的俯視圖。
為了便於理解,儘可能使用相同的元件符號來表示附圖中相同的元件。附圖並非按比例繪製,且為清楚起見可經簡化。一個實施例的元件及特徵可有利地併入其他實施例中,而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
110:步驟
120:步驟
130:步驟

Claims (21)

  1. 一種在一基板上形成一鎳矽化物材料的方法,包括: 在約15℃至約27℃的一溫度下,在一基板頂部沉積一第一鎳矽化物種晶層; 在高於350℃的一溫度下對該第一鎳矽化物種晶層進行退火;以及 在約15℃至約27℃的一溫度下,在該第一鎳矽化物種晶層頂部沉積一第二鎳矽化物層,以形成該鎳矽化物材料。
  2. 如請求項1所述的方法,進一步包括:對該鎳矽化物材料進行退火。
  3. 如請求項1或2所述的方法,進一步包括:在該第二鎳矽化物層上沉積一氮化鈦(TiN)封蓋層。
  4. 如請求項1或2所述的方法,其中沉積該第一鎳矽化物種晶層的該溫度為約20℃至約25℃。
  5. 如請求項1或2所述的方法,其中沉積該第二鎳矽化物層的該溫度為約20℃至約25℃。
  6. 如請求項1或2所述的方法,其中該第一鎳矽化物種晶層具有約20埃至60埃的一厚度。
  7. 如請求項1或2所述的方法,其中該第二鎳矽化物層具有約50埃至200埃的一厚度。
  8. 如請求項1或2所述的方法,其中藉由在一物理氣相沉積製程中將該基板曝露於鎳矽化物來沉積該第一鎳矽化物種晶層及該第二鎳矽化物層。
  9. 如請求項1或2所述的方法,其中該第一鎳矽化物種晶層及該第二鎳矽化物層使用包括一鎳及矽靶材的一物理氣相沉積製程形成,其中該鎳及矽靶材具有約1:1的一鎳矽比率。
  10. 如請求項1或2所述的方法,其中該鎳矽化物材料具有約20至50歐姆/平方的一量的一薄片電阻。
  11. 如請求項1或2所述的方法,其中對該第一鎳矽化物種晶層的退火在約355℃至450℃的一溫度下進行。
  12. 一種降低一鎳矽化物材料電阻率的方法,包括: a)在約15℃至約27℃的一溫度下,在一基板頂部沉積一鎳矽化物層; b)在高於350℃的一溫度下對該鎳矽化物層進行退火;且 c)重複a)及b),直至該鎳矽化物材料具有一預定厚度。
  13. 如請求項12所述的方法,進一步包括:在該鎳矽化物材料上沉積一氮化鈦(TiN)封蓋層。
  14. 如請求項12或13所述的方法,其中沉積該鎳矽化物層的該溫度為約20℃至約25℃。
  15. 如請求項12或13所述的方法,其中該鎳矽化物層具有約20埃至60埃的一厚度。
  16. 如請求項12或13所述的方法,其中藉由在一物理氣相沉積製程中將該基板曝露於鎳矽化物來沉積該鎳矽化物層。
  17. 如請求項12或13所述的方法,其中該鎳矽化物層使用包括一鎳及矽靶材的一物理氣相沉積製程形成,其中該鎳及矽靶材具有約1:1的一鎳矽比率。
  18. 如請求項12或13所述的方法,其中該鎳矽化物材料具有20至50歐姆/平方的一量的一薄片電阻。
  19. 一種基板處理系統,包括: 一物理氣相沉積腔室,被配置用於在約15℃至約27℃的一溫度下在一基板上物理氣相沉積一第一鎳矽化物種晶層,且在約15℃至約27℃的一溫度下在該第一鎳矽化物種晶層頂部物理氣相沉積一第二鎳矽化物層,以形成一鎳矽化物材料;及 一熱處理腔室,被配置用於在超過350℃的一溫度下對該第一鎳矽化物種晶層進行退火。
  20. 如請求項19所述的基板處理系統,進一步包括: 一真空基板移送室,其中該物理氣相沉積腔室耦合到該真空基板移送室;及 一熱處理腔室,該熱處理腔室耦合到該真空基板移送室,其中該基板處理系統被配置成在真空下將一基板從該物理氣相沉積腔室移動到該熱處理腔室。
  21. 一種電腦可讀媒體,其上儲存有指令,該等指令在被執行時,使得一整合系統執行如請求項1所述的在一基板上形成一鎳矽化物材料的一方法,或如請求項12所述的降低一鎳矽化物材料電阻率的一方法。
TW108125169A 2018-07-17 2019-07-17 形成鎳矽化物材料之方法 TWI723465B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862699502P 2018-07-17 2018-07-17
US62/699,502 2018-07-17
US16/511,627 US11965236B2 (en) 2018-07-17 2019-07-15 Method of forming nickel silicide materials
US16/511,627 2019-07-15

Publications (2)

Publication Number Publication Date
TW202010858A TW202010858A (zh) 2020-03-16
TWI723465B true TWI723465B (zh) 2021-04-01

Family

ID=69161663

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125169A TWI723465B (zh) 2018-07-17 2019-07-17 形成鎳矽化物材料之方法

Country Status (6)

Country Link
US (1) US11965236B2 (zh)
JP (1) JP7155388B2 (zh)
KR (1) KR102457643B1 (zh)
CN (1) CN112424909A (zh)
TW (1) TWI723465B (zh)
WO (1) WO2020018491A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11764057B2 (en) * 2021-05-24 2023-09-19 Che Inc. Method of forming structure having coating layer and structure having coating layer
US11626288B2 (en) 2021-07-30 2023-04-11 Applied Materials, Inc. Integrated contact silicide with tunable work functions
CN114198128B (zh) 2021-12-02 2022-08-19 中国安全生产科学研究院 中庭式地铁车站火灾通风排烟系统与方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160204027A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680223B1 (en) * 1997-09-23 2004-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US20020031909A1 (en) 2000-05-11 2002-03-14 Cyril Cabral Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
KR100369340B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 티타늄실리사이드의 형성 방법
US7212767B2 (en) 2002-08-09 2007-05-01 Ricoh Company, Ltd. Image forming apparatus and process cartridge removably mounted thereto
JP4509026B2 (ja) 2003-02-07 2010-07-21 日本電気株式会社 ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
US20050212058A1 (en) * 2004-03-23 2005-09-29 Yi-Chun Huang Resistance-reduced semiconductor device and fabrication thereof
US7432184B2 (en) * 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
JP4674165B2 (ja) * 2006-01-17 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7803707B2 (en) 2006-08-17 2010-09-28 Wisconsin Alumni Research Foundation Metal silicide nanowires and methods for their production
JP5309454B2 (ja) * 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009004495A (ja) * 2007-06-20 2009-01-08 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2009059758A (ja) * 2007-08-30 2009-03-19 Toshiba Corp 半導体装置及びその製造方法
WO2009134916A2 (en) * 2008-04-29 2009-11-05 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP5446148B2 (ja) 2008-07-02 2014-03-19 富士電機株式会社 炭化珪素半導体装置の製造方法
JP5538975B2 (ja) 2010-03-29 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN103681312A (zh) * 2013-10-23 2014-03-26 上海华力微电子有限公司 一种采用激光退火生成镍硅化物的方法
US9905648B2 (en) * 2014-02-07 2018-02-27 Stmicroelectronics, Inc. Silicon on insulator device with partially recessed gate
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
WO2016111832A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Laminate and core shell formation of silicide nanowire
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160204027A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire

Also Published As

Publication number Publication date
CN112424909A (zh) 2021-02-26
JP7155388B2 (ja) 2022-10-18
JP2021530869A (ja) 2021-11-11
US20200024722A1 (en) 2020-01-23
WO2020018491A1 (en) 2020-01-23
KR20210021105A (ko) 2021-02-24
TW202010858A (zh) 2020-03-16
US11965236B2 (en) 2024-04-23
KR102457643B1 (ko) 2022-10-20

Similar Documents

Publication Publication Date Title
US8993434B2 (en) Methods for forming layers on a substrate
US8846451B2 (en) Methods for depositing metal in high aspect ratio features
TWI723465B (zh) 形成鎳矽化物材料之方法
KR101600995B1 (ko) 상호연결 구조물들을 형성하기 위한 방법들
CN110574143A (zh) 阻挡膜沉积及处理
US7807568B2 (en) Methods for reducing damage to substrate layers in deposition processes
US9984976B2 (en) Interconnect structures and methods of formation
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
US11923244B2 (en) Subtractive metals and subtractive metal semiconductor structures
US11289329B2 (en) Methods and apparatus for filling a feature disposed in a substrate
US11674216B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD) with controlled cooling
US11670485B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure
TW202129029A (zh) 用於處理基板之方法及設備