JP7155388B2 - ニッケルシリサイド材料を生成する方法 - Google Patents

ニッケルシリサイド材料を生成する方法 Download PDF

Info

Publication number
JP7155388B2
JP7155388B2 JP2021500998A JP2021500998A JP7155388B2 JP 7155388 B2 JP7155388 B2 JP 7155388B2 JP 2021500998 A JP2021500998 A JP 2021500998A JP 2021500998 A JP2021500998 A JP 2021500998A JP 7155388 B2 JP7155388 B2 JP 7155388B2
Authority
JP
Japan
Prior art keywords
nickel silicide
degrees celsius
substrate
seed layer
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021500998A
Other languages
English (en)
Other versions
JP2021530869A (ja
Inventor
ミンルイ ユイ,
ホー レン,
メユール ナイク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021530869A publication Critical patent/JP2021530869A/ja
Application granted granted Critical
Publication of JP7155388B2 publication Critical patent/JP7155388B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0682Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

[0001] 本開示の実施形態は、広くは、改善された導電率、若しくは低い抵抗率、及び/又は10ナノメートル(nm)未満の電子平均自由行程(eMFP)を有するニッケルシリサイド(NiSi)材料を堆積させる方法に関する。
[0002] 集積回路のような半導体デバイスでは、デバイスの様々な構成要素を接続し、集積するために、相互接続が使用される。典型的には、デバイスが、信号経路を最小化しデバイスのサイズを低減させる助けとなるために、絶縁材料によって分離された導電性構成要素の多くの層から構成される。層間の導通を確立するために、導電性相互接続(接点又はビア)が、絶縁層間に延在し、導電層を接続する。したがって、相互接続は、デバイスの様々なの層の構成要素を相互に接続し、半導体基板に接続するために使用される、導電性材料で満たされた垂直開口部である。
[0003] 半導体デバイスの集積化が向上するにつれて、相互接続のサイズが低減され、それらのアスペクト比(すなわち、相互接続の高さと幅の比率)が増加した。その結果、従来は相互接続を充填するのに十分であった方法が、より小さい相互接続には不十分であることが判明した。典型的には、相互接続開孔が、化学気相堆積(CVD)、物理的気相堆積(PVD)、電気めっき、又はそれらの組み合わせによって開孔の内側に堆積される、銅などの金属材料を使用して充填される。
[0004] 集積回路(IC)内の相互接続は、クロック及び他の信号を分配し、回路内の様々な部分に電力/接地を提供する。IC特徴サイズの縮小が続くにつれて、相互接続は、例えば信号伝播遅延や、相互接続のライン抵抗に関連する電力散逸などの、システム性能を決定する主要因となりつつある。この20年間にわたり、銅(Cu)は、Cuの抵抗率が低いため、相互接続向けに選択されてきた材料である。しかし、本発明者らは、相互接続ライン幅が材料の電子平均自由行程(eMFP)未満に縮小するにつれて、ライン表面と粒界での側壁電子散逸の結果、材料の実効抵抗率が増加することを観察してきた。したがって、本発明者らは、Cuが39nmのeMFPを有するので、20nm以下の幅を有するCu相互接続では、実効抵抗率の増大が問題であることを観察した。更に、本発明者らは、銅が、低温でケイ素と問題を引き起こすように相互作用する可能性があり、又は周囲の誘電体中に拡散する可能性があることを観察した。拡散を防止するためには通常バリア層が必要であり、これは、Cuの全体的な抵抗率に有害に寄与することとなる。本発明者らは、IC相互接続内の銅の代替材料を見出す必要性に気付いた。
[0005] したがって、本発明者らは、基板上にニッケルシリサイド材料を生成するための改善された方法、及びニッケルシリサイド材料の抵抗率を低減させる方法を提供した。
[0006] 本明細書では、基板上にニッケルシリサイド材料を生成し、ニッケルシリサイド材料の抵抗率を低減させる実施形態が提供される。幾つかの実施形態では、基板上にニッケルシリサイド材料を生成するための方法が、摂氏約15度から摂氏約27度の温度で基板の上に第1のニッケルシリサイドシード層を堆積させること、摂氏350度を超えるような又は摂氏400度以下の温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を堆積させて、ニッケルシリサイド材料を生成することを含む。実施形態では、ニッケルシリサイド材料が、1~20μΩ.cmなどの20マイクロオーム×cm未満の量の抵抗を有する。
[0007] 幾つかの実施形態では、ニッケルシリサイド材料の抵抗率を低減させる方法が、a)摂氏約15度から摂氏約27度の温度で基板の上にニッケルシリサイド層を堆積させること、b)摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、並びに、c)ニッケルシリサイド材料が所定の厚さを有するまで、a)又はa)及びb)を繰り返すことを含む。幾つかの実施形態では、第1のニッケルシリサイドシード層をアニーリングすることが、アルゴン中で摂氏400度以下の温度で最大2分間まで行われる。幾つかの実施形態では、ニッケルシリサイド材料の所望の厚さに到達すると、ニッケルシリサイド材料が、摂氏約350度の温度、及び20バールの圧力(アルゴンガス雰囲気)で、最大10分間までアニーリングされる。
[0008] 幾つかの実施形態では、基板上に相互接続を形成する方法が、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させること、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成することを含む。
[0009] 幾つかの実施形態では、本開示が、基板処理システムに関する。該基板処理システムは、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させ、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させるように構成された物理的気相堆積チャンバ、及び摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングするように構成された熱処理チャンバを含む。
[0010] 幾つかの実施形態では、本開示が、コンピュータ可読媒体に関する。該コンピュータ可読媒体は、指示命令であって、実行されたときに、統合システムに基板上にニッケルシリサイド材料を生成する方法を実行させる指示命令を記憶する。該方法は、摂氏約15度から摂氏約27度の温度で基板の上に第1のニッケルシリサイドシード層を堆積させること、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を堆積させて、ニッケルシリサイド材料を生成することを含む。
[0011] 本開示の他の実施形態及び更なる実施形態は、以下で説明される。
[0012] 上記で簡潔に要約され、以下でより詳細に説明される本開示の実施形態は、添付の図面に示した本開示の例示的な実施形態を参照することにより、理解することができる。しかし、本開示は他の等しく有効な実施形態を許容し得ることから、付随する図面は、本開示の典型的な実施形態のみを示しており、したがって、範囲を限定するものと見なすべきではない。
[0013] 本開示の幾つかの実施形態に従って基板を処理するための方法のフローチャートを描く。 [0014] 図2A~図2Dは、本開示の幾つかの実施形態に従って高アスペクト比の開口部を充填する段階を描く。 図2A~図2Dは、本開示の幾つかの実施形態に従って高アスペクト比の開口部を充填する段階を描く。 図2A~図2Dは、本開示の幾つかの実施形態に従って高アスペクト比の開口部を充填する段階を描く。 図2A~図2Dは、本開示の幾つかの実施形態に従って高アスペクト比の開口部を充填する段階を描く。 [0015] 本開示の幾つかの実施形態による、物理的気相堆積(PVD)チャンバの概略断面図を描く。 [0016] 本開示の幾つかの実施形態に従って基板を処理するための方法のフローチャートを描く。 [0017] 本開示の幾つかの実施形態に従って基板上に相互接続を形成する方法のフローチャートを描く。 [0018] 本開示の幾つかの実施形態による、図3の装置を含む処理ツールの平面図を描く。
[0019] 理解を容易にするために、可能な場合には、図面に共通する同一要素を指し示すのに同一の参照番号を使用した。図は縮尺どおりではなく、分かり易くするために簡略化されていることがある。一実施形態の要素及び特徴は、更なる記述がなくても、他の実施形態に有益に組み込まれ得ると想定されている。
[0020] 基板上にニッケルシリサイド材料を生成する実施形態が、本明細書で提供される。本発明者らは、Cuが39nmのeMFPを有するので、20nm以下の幅を有する銅(Cu)相互接続では、実効抵抗率の増大が問題であることを観察した。更に、本発明者らは、銅が、低温でケイ素と問題を引き起こすように相互作用し、又は周囲の誘電体中に拡散することを観察した。Cuの拡散を防止するためには通常バリア層が必要であり、これは、Cuの全体的な抵抗率に有害に寄与することとなる。本発明者らは、IC相互接続内の銅の代替材料を見出す必要性に気付いた。本発明方法の実施形態は、有利なことに、ブランケットされた基板表面又は例えば高アスペクト比の特徴を含む基板の表面を、改善された導電率、若しくは低い抵抗率、及び/又は10ナノメートル(nm)未満のeMFPを有するニッケルシリサイド(NiSi)材料で連続的に被覆することができる。
[0021] 図1は、本開示の幾つかの実施形態に従って基板200上にニッケルシリサイド材料を生成するための方法100のフローチャートを描いている。方法100は、図2A、図2B、及び図2Cで描かれているような高アスペクト比の特徴を充填する段階に関連して以下で例示的に説明される。しかし、ニッケルシリサイド材料は、例えば、高アスペクト比の特徴のような特徴を有さない基板上又はその上に薄く広がる層(sheet)又はブランケットとして形成されてもよい。幾つかの実施形態では、ニッケルシリサイド材料が、基板上に薄く広がる層又はブランケットとして形成されてよく、エッチング、充填、及び/又はキャッピングなどの更なるプロセスフローを受けてよい。方法100は、以下で説明され、図3で描かれているプロセスチャンバ300のような、パルスDC電源と高周波(RF)電源との両方を有する任意の適切なPVDプロセスチャンバ内で実行されてよい。
[0022] 方法100は、室温、若しくは摂氏約15度から摂氏約27度、又は摂氏約20度から摂氏約25度などの温度で基板200の上に第1のニッケルシリサイドシード層220を堆積させることにより、110で開始する。例えば、基板200(図2Aで示されている)は、プロセスチャンバ300(図3)のようなPVDチャンバに提供されてよい。実施形態では、基板200が、高アスペクト比の開口部であって、基板200の第1の表面204に形成され、基板200の反対側の第2の表面206に向けて基板200の中に延在する開口部202などの、高アスペクト比の開口部を含む。基板200は、(非限定的に)高アスペクト比の開口部が形成された基板を含む、任意の適切な基板であってよい。例えば、基板200は、ケイ素(Si)、酸化ケイ素(SiO2)、窒化ケイ素(SiN)、又は他の誘電材料のうちの1以上を含み得る。実施形態では、基板が、窒化チタン(TiN)や窒化タンタル(TaN)などの薄い金属層を含んでよい。更に、基板200は、材料の更なる層を含んでよく、又は内部若しくはそこに形成された1以上の完成した若しくは部分的に完成した構造を有してよい。
[0023] 開口部202は、ビア、トレンチ、二重ダマシン構造などを形成するために使用されるような、高アスペクト比を有する任意の開口部であってよい。幾つかの実施形態では、開口部202が、少なくとも約5:1(例えば、高アスペクト比)の高さ対幅のアスペクト比を有してよい。例えば、幾つかの実施形態では、アスペクト比が、約10:1以上、例えば約15:1以上であってもよい。開口部202は、任意の適切なエッチングプロセスを使用して、基板をエッチングすることによって形成され得る。開口部202は、図示されているように、底面208と側壁210を含む。
[0024] 幾つかの実施形態では、底面208及び側壁210が、以下で説明されるように、ニッケルシリサイド原子(nickel silicide atom)を堆積させる前に、1以上の層で覆われてもよい。例えば、図2Aで点線によって示されているように、開口部202の底面及び側壁と基板200の第1の表面とは、酸化ケイ素(SiO2)、(Si)、(SiN)、又は他の誘電材料などの誘電体層又は酸化物層212によって覆われてもよい。酸化物層は、基板200をPVDチャンバに提供する前に、例えば、化学気相堆積(CVD)チャンバ内又は酸化チャンバ内で堆積又は成長させることができる。誘電体層又は酸化物層212は、基板と、引き続いて開口部内に堆積されるニッケルシリサイド含有層との間の電気的及び/若しくは物理的なバリアとして働くことができ、並びに/又は、基板の本来の表面よりも、以下で論じる堆積プロセス中に付着ためのより良好な表面として機能することができる。実施形態では、酸化物以外の材料を酸化物層212の代わりに使用することができる。
[0025] 幾つかの実施形態では、バリア層214が、(図示のように)酸化物層212の上に、若しくは誘電体層の上に、又は、酸化物層が存在しない場合、開口部の底面及び側壁と基板の第1の面との上に堆積されてもよい。バリア層214は、上述の誘電体層又は酸化物層212の何れかと同様の機能を果たすことができる。幾つかの実施態様では、バリア層214が、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、又はその他のもののうちの少なくとも1つを含んでよい。バリア層214は、開口部202内に連続的なバリア層を形成するために以下で説明される方法100を使用することを含む、CVD又はPVDなどの任意の適切な方法によって堆積させることができる。
[0026] 幾つかの実施形態では、図2Aの仮想線で示されているように、開口202が、基板200を完全に貫通して延在し、第2の基板218の表面216が、開口部202の底面208を形成し得る。第2の基板218は、基板200の第2の面206に隣接して配置されてよい。更に(図2Dで示され、以下で説明されるように)、論理デバイスなどのデバイス、又は、ゲート、接触パッド、導電性ビアなどの電気的接続性を必要とするデバイスの一部分は、第2の基板218の表面216に配置されてよく、開口部202と整列されてよい。
[0027] 110では、基板200の上の第1のニッケルシリサイドシード層の堆積が、PVDチャンバ内で行われてよい。PVDチャンバ内では、RF電力(後述するRF電源318などからの)が、基板200の上方に配置されたニッケルシリサイドを含むターゲットにVHF周波数で印加されて、プラズマ生成ガスからプラズマを生成する。例えば、ターゲットは、以下で説明するターゲット306であってよい。更に、ターゲットは、基板200の開口部202の表面と第1の表面204の上に第1のニッケルシリサイドシード層220を形成するのに適した、ニッケルシリサイド合金などのうちの1以上を含んでよい。例えば、ターゲットは、ニッケル、ケイ素、又は1±x:1(x=0.01から0.1)の比にある、ニッケルシリサイドのうちの1以上を含み得る。チタン(Ti)、タンタル(Ta)、銅(Cu)、アルミニウム(Al)などの金属などの他の成分は、全ターゲット重量の5重量%未満の量で存在してよい。プラズマ生成ガスは、希ガスなどの不活性ガス、又は他の不活性ガスを含んでよい。例えば、適切なプラズマ生成ガスの非限定的な例には、アルゴン(Ar)、ヘリウム(He)、キセノン(Xe)、ネオン(Ne)、水素(H2)などが含まれてよい。実施形態では、プラズマ生成ガスが、10sccm、11sccm、又は12sccmなどの、約5~15sccmの流量を有するArである。
[0028] 110では、基板200の上の第1のニッケルシリサイドシード層220の堆積が、PVDチャンバ内で行われてよい。PVDチャンバ内では、プラズマ生成ガスからプラズマを生成することと、プラズマによってターゲットからスパッタリングされた金属原子をイオン化することと、のうちの1以上のために、RF電力がVHF周波数で印加され得る。本明細書で使用される際に、VHF周波数は、約27MHzから約100MHzの範囲内の周波数である。幾つかの実施形態では、印加されるVHF周波数が約60MHzである。例えば、VHF周波数を増加させると、プラズマ密度及び/又はターゲットからスパッタリングされたニッケルシリサイド原子のイオン化の量が増加し得る。幾つかの実施形態では、RF電力が、1kW、2kW、又は3kWなどの、0.5から3kWで印加されてよい。
[0029] 110では、基板200の上の第1のニッケルシリサイドシード層の堆積が、PVDチャンバ内で行われてよい。PVDチャンバ内では、例えば、以下で説明されるように、プラズマをターゲット306に向けて導くように、パルスDC電力が、ターゲット306に結合されたパルスDC電源320からターゲット306に印加されてよい。DC電力は、約1から約4キロワット(kW)の範囲内であってよい。幾つかの実施形態では、パルスDC電力が、約1~4kW、若しくは約2kW、3kW、又は4kWであってもよい。実施形態では、パルスDC電力が、第1のニッケルシリサイドシード層220の抵抗率を低減させるために印加される。パルスDC電力は、基板200上のスパッタリングされたニッケルシリサイド原子の堆積速度を制御するように調整されてもよい。例えば、パルスDC電力を増加させると、プラズマとターゲットとの相互作用が増加し、ターゲット306からのニッケルシリサイド原子のスパッタリングが増加したり、又はニッケルシリサイドの粒径が増加したりする可能性がある。
[0030] 110では、基板200の上への第1のニッケルシリサイドシード層の堆積が、PVDのチャンバ内で行われてよい。PVDのチャンバ内では、ターゲットからスパッタリングされているニッケルシリサイド原子の主たる部分をイオン化するのに十分な第1の圧力をPVDチャンバ内で維持しながら、プラズマを用いてターゲット306からニッケルシリサイド原子がスパッタリングされる。例えば、ニッケルシリサイド原子の主たる部分は、プラズマによってスパッタリングされているニッケルシリサイド原子の総数の約30から約70パーセントの範囲内にあってよい。第1の圧力は、印加される第1のRF電力及びパルスDC電力に加えて、プロセスチャンバの形状(基板のサイズ、ターゲットと基板との距離など)に依存し得る。例えば、第1の圧力は、約60から90ミリメートル(mm)のターゲットと基材との間隙で構成されたチャンバ内で、約0.2から約100ミリトル(mTorr)の範囲内であってよい。幾つかの実施形態では、第1の圧力が、約0.5から約5mTorr、又は0.5mTである。チャンバ内の第1の圧力は、プラズマ生成ガスの流量及び/又はプラズマ生成ガスと並流することができる不活性ガスなどの追加のガスの流量によって維持することができる。第1の圧力は、スパッタリングされたニッケルシリサイド原子が衝突してイオン化され得るところの高密度のガス分子を、ターゲットと基板との間に提供し得る。ターゲット306からスパッタリングされるニッケルシリサイド原子のイオン化の量を制御するために、圧力を更に利用することができる。例えば、ターゲット306と基板との間隙内の圧力を増加させることにより、金属原子との衝突回数を増加させ、イオン化されたニッケルシリサイド原子の量を増加させることができる。
[0031] 一実施形態において、110では、基板200の上の第1のニッケルシリサイドシード層220の堆積が、1~4kWのパルスDC又は約1kWのRFの電力で、約0.5mTのプロセス圧力を有する約11sccmの流量で、Arプラズマの下で、PVDチャンバ内で行われてもよい。一実施形態において、110では、基板200の上の第1のニッケルシリサイドシード層220の堆積が、1~4kWのパルスDC電力で、約0.5mTのプロセス圧力を有する約11sccmの流量で、Arプラズマの下で、PVDチャンバ内で行われてもよい。
[0032] 110では、基板200の上の第1のニッケルシリサイドシード層220の堆積が、PVDチャンバ内で行われてよい。PDVチャンバ内では、図2Bで示されているように、第1の複数のニッケルシリサイド原子221が、基板200の第1の表面204上及び開口部202の底面208上に堆積される。第1の複数のニッケルシリサイド原子221は、第1の圧力、第1のRF電力、パルスDC電力、及び/又はVHF若しくはRF周波数などの、上述のプロセス条件を使用して堆積されてよい。このような処理条件は、図2Bで示されているように、基板200に対してほぼ垂直な第1の複数のニッケルシリサイド原子221の方向を容易にすることができる。幾つかの実施形態では、第1の複数のニッケルシリサイド原子221の堆積中に、基板200に(例えば、基板支持体内などの基板200の下に配置された電極に)任意選択的なRF電力を印加することができる。RF電力(RFバイアス電力とも称される)は、約0.5から約13.56MHzの範囲内の周波数、及び最大50W又は約5から50Wの電力で印加されてもよい。幾つかの実施形態では、RFバイアス電力の周波数が、約2MHz、若しくは約13.56MHzであってよく、又は別のRF電源がPVDチャンバの基板支持ペデスタル(若しくはその内部に含まれる電極)に更に結合される場合は、それらの両方であってもよい。第1の複数のニッケルシリサイド原子221を堆積させる間に提供される任意選択的なRFバイアス電力は、堆積するニッケルシリサイド原子のエネルギーを最小化するために小さくてよい。
[0033] 幾つかの実施態様において、110では、第1のRF電力(本明細書では、第1のRFバイアス電力とも称される)を基板200の下に配置された電極に印加して、第1の複数のニッケルシリサイド原子の少なくとも一部を、開口部202の底面208から開口部202の側壁210に再分配する。第1のRFバイアス電力は、約2から約13.56MHzの範囲内の周波数で印加されてもよい。例えば、幾つかの実施形態では、第1のRFバイアス電力の周波数が、約2MHz、若しくは13.56MHzであってよく、又は任意選択的な第2のRFバイアス電源(図3で示されている第2のRFバイアス電源363)が、図3で示され、以下で説明されるように、基板支持ペデスタル302に更に結合される場合には、それらの両方であってもよい。第1のRFバイアス電力を利用して、基板200に入射するイオンエネルギー及び/又はイオンの入射角を増大させることができる。例えば、基板200に入射するイオンは、イオン化されたニッケルシリサイド原子、プラズマからのイオン化された成分、又はそれらの組み合わせを含んでよい。第1のRFバイアス電力を増加させて、例えば、図2Bで示されているように、開口部202の底面208上に堆積されたニッケルシリサイド原子へのイオンの衝突を増大させるために、イオンエネルギーを増大させることができる。開口部の底面208へのイオンの衝突の増大は、図示されているように、金属原子の第1の複数の金属原子のうちの少なくとも一部の側壁210上への再分配を容易にすることができる。第1のRFバイアス電力は、上述したように、第1の複数のニッケルシリサイド原子221の堆積中に印加される任意選択的なRFバイアス電力よりも実質的に大きくてよい。例えば、第1のRFバイアス電力は、約50Wより大きくてよく、又は約0から約200Wの範囲内であってもよい。幾つかの実施形態では、第1のRFバイアス電力が約50Wである。
[0034] 第1のRFバイアス電力と第2のRFバイアス電力が同時に利用される幾つかの実施形態では、第1のRFバイアス電力が、基板200に近接するイオンエネルギーを制御するために使用されてよく、第2のRFバイアス電力が、基板200に近接するイオンエネルギーの分布を制御するために使用されてよい。
[0035] 幾つかの実施形態において、110では、第1のニッケルシリサイドシード層を堆積させるための温度が、室温、若しくは摂氏約20度から摂氏約25度、摂氏22度、摂氏23度、摂氏24度、又は摂氏25度である。
[0036] 幾つかの実施形態において、110では、第1のニッケルシリサイドシード層220が、所定の厚さに形成される。実施形態では、第1のニッケルシリサイドシード層220の厚さが、約10オングストロームから100オングストローム、20オングストロームから60オングストローム、30オングストロームから50オングストローム、35オングストロームから45オングストローム、約20オングストローム、約25オングストローム、約30オングストローム、約35オングストローム、約40オングストローム、約50オングストローム、約60オングストロームである。実施形態では、第1のニッケルシリサイドシード層220の厚さが、全ニッケルシリサイド厚さの約30から70パーセント、例えば、100オングストロームのニッケルシリサイド膜の全厚さのうちの50オングストロームである。
[0037] 幾つかの実施形態において、110では、第1のニッケルシリサイドシード層220が、多結晶であるとして特徴付けられる。
[0038] 図1に戻って参照すると、実施形態において、120では、例えば、基板200の上に第1のニッケルシリサイドシード層220を形成した後に、第1のニッケルシリサイドシード層220のインシトゥ(その場)でのアニーリングなどのアニーリングが、摂氏350度を超える温度で実行される。実施形態では、例えば、基板200の上に第1のニッケルシリサイドシード層220を形成した後に、第1のニッケルシリサイドシード層220のインシトゥ(その場)でのアニーリングなどのアニーリングが、摂氏400度以下の温度で実行される。例えば、アニーリングは、摂氏約355度から摂氏400度、摂氏375度から摂氏405度、又は摂氏約400度の温度で、第1のニッケルシリサイドシード層220に対して実行されてよい。実施形態では、急速熱アニール(RTA)やダイナミックサーフェスアニール(DSA)などの堆積後アニールが、基板200の上の第1のニッケルシリサイドシード層220のシード粒子を大きくし、実施形態では、長手方向に沿って長くする。幾つかの実施形態では、アニールが、第1のニッケルシリサイドシード層220のシード粒子の粒径を、十分なサイズ、又は200ナノメートル、1マイクロメートル、若しくはそれより上などの所定のサイズに増大させるのに十分な持続時間にわたって、上述の温度で実行されてよい。幾つかの実施形態では、アニールが、第1のニッケルシリサイドシード層220のシード粒子の粒径を、水平中心軸、垂直中心軸、及び/又は長手方向中心軸に沿って、十分なサイズ、又は200ナノメートル、1マイクロメートル、若しくはそれより上などの所定のサイズに増大させるのに十分な持続時間にわたって、上述の温度で実行されてよい。幾つかの実施形態では、基板200が、熱処理チャンバ内などのアニーリングに適したチャンバに移動されてよく、又は、アニーリングが、熱処理チャンバを含む統合システム内などで、インシトゥ(その場)であってよい。その場合、チャンバ間の移送は減圧下で実行される。
[0039] 幾つかの実施形態では、アニーリングに続いて、基板200が、室温などのより低い温度に冷却されてよい。
[0040] 図1に戻って参照すると、実施形態において、130では、第1のニッケルシリサイドシード層220の上に第2のニッケルシリサイド層240を堆積させることが、摂氏約15度から摂氏約27度の温度で実行されて、ニッケルシリサイド材料250を生成する。実施形態では、堆積130の条件が、上記110に関連する条件と同じである。例えば、130では、第1のニッケルシリサイドシード層220の上の第2のニッケルシリサイド層の堆積が、PVDのチャンバ内で行われてよい。PVDチャンバ内では、ターゲットからスパッタリングされているニッケルシリサイド原子の主たる部分をイオン化するのに十分な第1の圧力をPVDチャンバ内で維持しながら、プラズマを用いてターゲット306からニッケルシリサイド原子がスパッタリングされる。一実施形態では、第1のニッケルシリサイドシード層220の上の第2のニッケルシリサイド層240の堆積が、1~4kWのパルスDC又は約1kWのRFの電力で、約0.5mTのプロセス圧力を有する約11sccmの流量で、Arプラズマの下で、PVDチャンバ内で行われてもよい。一実施形態において、130では、第1のニッケルシリサイドシード層220の上の第2のニッケルシリサイド層240の堆積が、1~4kWのパルス電力で、約0.5mTのプロセス圧力を有する約11sccmの流量で、Arプラズマの下で、PVDチャンバ内で行われてもよい。実施形態において、130では、ニッケルシリサイド原子の主たる部分が、プラズマによってスパッタリングされているニッケルシリサイド原子の総数の約30から約70パーセントの範囲内であってよい。第1の圧力は、印加される第1のRF電力及びパルスDC電力に加えて、プロセスチャンバの形状(基板のサイズ、ターゲットと基板との距離など)に依存し得る。例えば、第1の圧力は、約60から90ミリメートル(mm)のターゲットと基材との間隙で構成されたチャンバ内で、約0.5から約140ミリTorr(mT)であってよい。幾つかの実施形態では、第1の圧力が約0.5mTorrである。チャンバ内の第1の圧力は、プラズマ生成ガスの流量及び/又はプラズマ生成ガスと並流することができる不活性ガスなどの追加のガスの流量によって維持することができる。第1の圧力は、スパッタリングされたニッケルシリサイド原子が衝突してイオン化され得るところの高密度のガス分子を、ターゲットと基板との間に提供し得る。ターゲット306からスパッタリングされるニッケルシリサイド原子のイオン化の量を制御するために、圧力を更に利用することができる。例えば、ターゲット306と基板との間隙内の圧力を増加させることにより、金属原子との衝突回数を増加させ、イオン化されたニッケルシリサイド原子の量を増加させることができる。
[0041] 130では、第1のニッケルシリサイドシード層220の上の第2のニッケルシリサイド層240の堆積が、PVDチャンバ内で行われてよい。PVDチャンバ内では、図2Cで示されているように、第2の複数のニッケルシリサイド原子が、第1のニッケルシリサイドシード層220の上面225上に堆積される。複数のニッケルシリサイド原子は、第1の圧力、第1のRF電力、パルスDC電力、及び/又はVHF周波数などの、上述の処理条件を使用して堆積されてもよい。幾つかの実施形態では、第1の複数のニッケルシリサイド原子221の堆積中に、基板200に(例えば、基板支持体内などの基板200の下に配置された電極に)任意選択的なRF電力を印加することができる。RF電力(RFバイアス電力とも称される)は、約2から約13.56MHzの範囲内の周波数、及び最大50Wまでの電力で印加されてよい。幾つかの実施形態では、RFバイアス電力の周波数が、約2MHz、若しくは約13.56MHzであってよく、又は、別のRF電源がPVDチャンバの基板支持ペデスタル(若しくはその内部に含まれる電極)に更に結合される場合は、それらの両方であってもよい。第1の複数のニッケルシリサイド原子221を堆積させる間に提供される任意選択的なRFバイアス電力は、堆積するニッケルシリサイド原子のエネルギーを最小化するために小さくてよい。
[0042] 幾つかの実施形態において、130では、第2のRF電力(本明細書では、第2のRFバイアス電力とも称される)が、基板200の下に配置された電極に印加される。第2のRFバイアス電力は、約2から約13.56MHzの範囲内の周波数で印加されてよい。例えば、幾つかの実施形態では、第1のRFバイアス電力の周波数が、約2MHz、若しくは13.56MHzであってよく、又は、任意選択的な第2のRFバイアス電源(図3で示されている第2のRFバイアス電源363)が、図3で示され、以下で説明されるように、基板支持ペデスタル302に更に結合される場合には、それらの両方であってもよい。第2のRFバイアス電力を利用して、基板200に入射するイオンエネルギー及び/又はイオンの入射角を増大させることができる。(上述のように)第1のRFバイアス電力と第2のRFバイアス電力とが同時に利用される幾つかの実施形態では、第1のRFバイアス電力が、基板200に近接するイオンエネルギーを制御するために使用されてもよく、第2のRFバイアス電力が、基板200に近接するイオンエネルギーの分布を制御するために使用されてもよい。
[0043] 幾つかの実施形態において、130では、第2のニッケルシリサイドシード層を堆積させるための温度が、室温、若しくは摂氏約20度から摂氏約25度、摂氏22度、摂氏23度、摂氏24度、又は摂氏25度である。
[0044] 幾つかの実施形態において、130では、第2のニッケルシリサイド層240が、所定の厚さに形成される。実施形態では、第2のニッケルシリサイド層240が、全ニッケルシリサイド厚さの約30から70パーセント、例えば、100オングストロームのニッケルシリサイド膜の全厚さのうちの50オングストロームの厚さを有する。実施形態では、第2のニッケルシリサイド層240が、約50オングストロームから200オングストローム、50オングストロームから175オングストローム、50オングストロームから150オングストローム、約50オングストローム、約60オングストローム、約70オングストローム、約80オングストローム、約100オングストローム、約125オングストローム、約150オングストローム、又は約175オングストロームの厚さを有する。
[0045] 130で、第2のニッケルシリサイド層240が形成された後に、方法100は終了してもよく、又は、基板200が、例えば、図2Cで描かれているように、開口部202を充填するために第2のニッケルシリサイド層240の上にキャッピング層260を堆積させることによって、更なる処理を継続してもよい。キャッピング層260の材料は、酸化を防止するために、インシトゥ(その場)の技法などの任意の適切な技法によって堆積されてもよい。キャッピング層260の材料には、金属や金属合金などが含まれてよい。幾つかの実施態様では、当該材料が、銅(Cu)若しくはタングステン(W)又は窒化チタン(TiN)のうちの1以上を含む。一実施形態では、キャッピング層260が、第2のニッケルシリサイド層240の上に堆積されたTiNを含む。更なる処理の別の一実施例は、任意選択的に、基板200への第2のアニールを含む。幾つかの実施形態では、第2のアニールが、エクスシトゥ(ex situ:実験施設内)として特徴付けられる。実施形態では、第2のアニールが、約20バールの圧力で約10分間、摂氏約300度から400度(摂氏350度など)の温度で実行されてよい。第2のアニールは、例えばアニーリングチャンバ内で行うことができる。幾つかの実施形態では、第2のアニールが、基板を減圧下に維持するのに適した統合システム内でのアニーリングに適した熱処理チャンバ内で実行される。
[0046] 実施形態では、ニッケルシリサイド材料250が、所定のシート抵抗を有するように生成される。例えば、実施形態では、10ナノメートルの厚さにおいて、ニッケルシリサイド材料250が、20から50オーム/スクエア(square)、20から40オーム/スクエア、20から30オーム/スクエア、20から30オーム/スクエア、20オーム/スクエア、21オーム/スクエア、22オーム/スクエア、23オーム/スクエア、24オーム/スクエア、25オーム/スクエア、26オーム/スクエア、27オーム/スクエア、28オーム/スクエア、29オーム/スクエア、30オーム/スクエア、35オーム/スクエア、又は40オーム/スクエアの量のシート抵抗を有する。
[0047] 次に図2Dを参照すると、幾つかの実施形態では、図2Aに関して上述されたように、上述した110~130を実行する前に、第2の基板218を設けられてよい。したがって、図2Dで示されているように、第2の基板218は、基板200の第2の表面206に隣接して配置されてよく、開口部202は、基板200を完全に貫通して延在し、第2の基板218の表面216は、開口部202の底面を形成する。更に、デバイス又は導電性特徴234が、第2の基板に配置され、表面216において露出されてよい。その場合、デバイス又は導電性特徴234は、開口部202と整列する。基板200の第1の表面204は、堆積したニッケルシリサイド原子を除去するために更に処理されてよい。例えば、図2Dで示されているように、化学機械研磨技法、エッチングなどを用いて、第1の表面204から堆積したニッケルシリサイド原子を除去することができる。
[0048] 幾つかの実施形態では、材料250が上述のように堆積された後に、材料を基板200の第2の表面206から除去して、第1の層230又は堆積されたニッケルシリサイド材料250の少なくとも1つを露出させることができる(図2Dでは、第1の層230が露出されているように示されている)。第2の表面206からの材料の除去は、基板200の第1の表面204から堆積した金属を除去するために上述したような、化学機械研磨や同様の技法によって実行されてよい。
[0049] 第1の層230又は堆積された材料232の少なくとも1つを露出させるために、第2の表面206から材料を取り除いた後で、基板200の第2の表面206を、第2の基板218の表面216に結合することができる。幾つかの実施形態では、第2の基板218の表面216において露出されたデバイス又は導電性特徴234が、基板200の開口部202と整列されてよい。
[0050] 図3は、本開示の幾つかの実施形態による、物理的気相堆積チャンバ(プロセスチャンバ300)の概略断面図を描いている。適切なPVDチャンバの例には、全てがカリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているMAGNUM(登録商標)又はROSWELL(登録商標)マルチカソードプロセスチャンバ、ALPS(登録商標)Plus、及びSIP ENCORE(登録商標)PVDプロセスチャンバが含まれる。アプライドマテリアルズ社(Applied Materials, Inc.)又は他の製造業者からの他の処理チャンバも、本明細書で開示されている本発明の装置から利益を得ることができる。
[0051] プロセスチャンバ300は、その上に基板304を受け取るための基板支持ペデスタル302と、ターゲット306などのスパッタリング源とを含む。基板支持ペデスタル302は、接地されたチャンバ壁308内に位置付けられてよい。チャンバ壁308は、(図示されているような)チャンバ壁、又はターゲット306の上方のプロセスチャンバ300の少なくとも幾つかの部分をカバーする接地シールド340などの接地シールドであってもよい。幾つかの実施形態では、接地シールド340が、基板支持ペデスタル302を囲むようにターゲットの下方に延在してよい。
[0052] 幾つかの実施形態では、プロセスチャンバが、ターゲット306にRFエネルギー及びパルスDCエネルギーを結合するための給電構造を含む。給電構造は、例えば、本明細書で説明されるように、RFエネルギー及びパルスDCエネルギーを、ターゲット又はターゲットを含むアセンブリに結合するための装置である。給電構造の第1の端部は、RF電源318とパルスDC電源320とに結合されてよく、それらのそれぞれを利用して、RFエネルギー及びパルスDCエネルギーをターゲット306に提供することができる。例えば、DC電源320を利用して、負の電圧又はバイアスをターゲット306に印加することができる。幾つかの実施形態では、RF電源318によって供給されるRFエネルギーが、上述された周波数を提供するのに適したものであってよく、或いは、周波数が、約2MHzから約60MHzの範囲内であってよく、又は、例えば、2MHz、13.56MHz、27.12MHz、若しくは60MHzの非限定的な周波数が使用されてよい。幾つかの実施形態では、複数の上述の周波数においてRFエネルギーを提供するために、複数の(すなわち、2つ以上の)RF電源が設けられてよい。給電構造は、RF電源318とパルスDC電源320からのRFエネルギーとパルスDCエネルギーを伝導するのに適した導電性材料から製作されてよい。
[0053] 幾つかの実施形態では、給電構造が、給電構造の周囲でのそれぞれのRFエネルギーとパルスDCエネルギーの実質的に均一な分布を容易にする適切な長さを有してよい。例えば、幾つかの実施形態では、給電構造が、約1から約12インチの間、又は約4インチの長さを有してよい。幾つかの実施形態では、本体が、少なくとも約1:1の長さ対内径の比率を有してよい。少なくとも1:1以上の比率を提供することによって、給電構造からのより均一なRF供給を提供する(すなわち、RFエネルギーは、給電構造の真の中心点へのRF結合を近似するために、給電構造の周りにより均一に分配される)。供給構造の内径は、できるだけ小さくてよく、例えば、約1インチから約6インチ、又は約4インチの直径であってよい。内径を小さくすることにより、給電構造の長さを増加させることなく、長さ対内径の比率を改善することが容易になる。
[0054] 給電構造の第2の端部は、ソース分配プレート322に結合されてよい。ソース分配プレートは、ソース分配プレート322を貫通して配置され、給電構造の中央開口部と整列した孔324を含む。ソース分配プレート322は、給電構造からRFエネルギー及びパルスDCエネルギーを伝導するために、適切な導電性材料から製作されてよい。
[0055] ソース分配プレート322は、導電性部材325を介してターゲット306に結合されてよい。導電性部材125は、ソース分配プレート322の周縁部の近くでソース分配プレート322のターゲット対向面328に結合された第1の端部326を有する管状部材であってよい。導電性部材325は、更に、ターゲット306の周縁部の近くでターゲット306のソース分配プレート対向面332に(又はターゲット306のバッキング板346に)結合された第2の端部330を含む。
[0056] 空洞334は、導電性部材325の内側対向壁、ソース分配プレート322のターゲット対向面328、及びターゲット306のソース分配プレート対向面332によって画定されてよい。空洞334は、ソース分配プレート322の孔324を介して本体の中央開口部315に流体結合されている。空洞334及び本体の中央開口部315は、図3で図示され、以下で更に説明されるように、回転可能なマグネトロンアセンブリ336の1以上の部分を少なくとも部分的に収容するために利用されてよい。幾つかの実施形態では、空洞が、水(H2O)などの冷却流体で少なくとも部分的に満たされてよい。
[0057] 接地シールド340を設けて、プロセスチャンバ300の蓋の外面を覆うことができる。接地シールド340は、例えば、チャンバ本体の接地接続を介して接地に結合されてよい。接地シールド340は、給電構造が接地シールド340を通過してソース分配プレート322に結合されることを可能にするための中央開口部を有する。接地シールド340は、アルミニウムや銅などの任意の適切な導電性材料を含んでよい。絶縁間隙339が、接地シールド340と、ソース分配プレート322、導電性部材325、並びにターゲット306(及び/又はバッキング板346)の外面との間に設けられ、RFエネルギー及びパルスDCエネルギーが接地に直接的に経路指定されるのを防止する。絶縁用間隙は、空気、又はセラミックやプラスチックなどの何らかの他の適切な誘電材料で充填されてよい。
[0058] 幾つか実施形態では、接地カラーが、給電構造の本体及び下側部分の周りに配置されてよい。接地カラーは、接地シールド340に結合されており、接地シールド340の一体化された部分であってよく、又は給電構造の接地を提供するために接地シールドに結合された別個の部分であってもよい。接地カラーは、アルミニウムや銅などの適切な導電性材料から作製されてよい。幾つかの実施形態では、接地カラーの内径と給電構造の本体の外径との間に配置された間隙が、最小に保たれ、電気的な絶縁を提供するのにちょうど十分であってよい。該間隙は、プラスチックやセラミックのような絶縁材料で充填されてよく、又は空隙であってもよい。接地カラーは、RF給電線(例えば、以下で説明される給電線205)と本体との間のクロストークを防止し、したがって、プラズマ、及び処理、均一性を改善する。
[0059] 絶縁プレート338が、ソース分配プレート322と接地シールド340との間に配置されて、RFエネルギー及びパルスDCエネルギーが、直接的に接地に経路指定されることを防止することができる。絶縁プレート338は、中央開口部であって、給電構造が、絶縁プレート338を通過し、ソース分配プレート322に結合されることを可能にするための中央開口部を有する。絶縁プレート338は、セラミックやプラスチックなどの適切な誘電材料を含んでよい。代替的には、絶縁プレート338の代わりに空隙が設けられてもよい。絶縁プレートの代わりに空隙が設けられる実施形態では、接地シールド340が、接地シールド340上に載置されている任意の構成要素を支持するのに十分な構造的健全性を有してよい。
[0060] ターゲット306は、絶縁層分離体(dielectric isolator)344を介して、342などの接地導電性アルミニウムアダプター上に支持されてよい。ターゲット306は、スパッタリング中に基板304上に堆積される材料、例えばニッケルシリサイドを含む。幾つかの実施形態では、バッキング板346が、ターゲット306のソース分配プレート対向面332に結合されてよい。バッキング板346は、導電性材料(ニッケルシリサイドなど)、又はターゲットと同じ材料を含んでよく、これにより、バッキング板346を介してターゲット306にRF電力及びパルスDC電力が結合され得る。代替的には、バッキング板346が、非導電性であってよく、ターゲット306のソース分配プレート対向面332を、導電性部材325の第2の端部330に結合するための、電気的なフィードスルーなどの導電性要素(図示せず)を含んでよい。バッキング板346は、例えば、ターゲット306の構造的安定性を改善するために含まれてよい。
[0061] 基板支持ペデスタル302は、ターゲット306の主面に対向する材料受け取り面を有し、ターゲット306の主面に対向する平面的な位置においてスパッタリングコーティングされる基板304を支持する。基板支持ペデスタル302は、プロセスチャンバ300の中央領域348において基板304を支持し得る。中央領域348は、処理中に基板支持ペデスタル302の上方にある領域(例えば、ターゲット306と処理位置にあるときの基板支持ペデスタル302との間)として画定される。
[0062] 幾つかの実施形態では、基板支持ペデスタル302が、底部チャンバ壁352に連結されたベローズ350を介して垂直に可動であってよく、基板304が、プロセスチャンバ300の処理の下側部分においてロードロックバルブ(図示せず)を通して基板支持ペデスタル302上に移送され、その後、堆積位置すなわち処理位置に持ち上げられることを可能にする。ガス源354からの1以上の処理ガスが、質量流量コントローラ356を介して、プロセスチャンバ300の下側部分の中に供給され得る。プロセスチャンバ300の内部を排気して、プロセスチャンバ300の内側の所望の圧力を維持することを容易にするために、排気口358が設けられ、バルブ360を介してポンプ(図示せず)に結合されてよい。
[0063] 基板304上に負のDCバイアスを誘起するために、基板支持ペデスタル302に、RFバイアス電源362が結合され得る。加えて、幾つかの実施形態では、処理中に基板304上に負のDCセルフバイアスが生成され得る。例えば、RFバイアス電源362によって供給されるRF電力は、約2MHzから約60MHzの周波数の範囲内であってよく、例えば、2MHz、13.56MHz、又は60MHzなどの非限定的な周波数が使用されてよい。更に、第2のRFバイアス電源が、基板支持ペデスタル302に結合されてよく、RFバイアス電源362と共に使用される上述の周波数の何れかを提供することができる。他の用途では、基板支持ペデスタル302が、接地されてよく、又は電気的に浮遊したままであってもよい。例えば、RFバイアス電力が所望ではない用途において、基板304上の電圧を調整するために、静電容量調節器364が、基板支持ペデスタルに結合されてよい。
[0064] 回転可能なマグネトロンアセンブリ336は、ターゲット306の裏面(例えば、ソース分配プレート対向面332)に近接して配置されてよい。回転可能なマグネトロンアセンブリ336は、ベースプレート368によって支持された複数の磁石366を含む。ベースプレート368は、プロセスチャンバ300及び基板304の中心軸に一致する回転シャフト370に接続している。モータ372を回転軸370の上端に結合して、マグネトロンアセンブリ336の回転を駆動することができる。磁石366は、プロセスチャンバ300内に磁場を生成し、概して、ターゲット306の表面に平行で且つ近接して、電子を捕捉し、局所的なプラズマ密度を増加させ、これにより、スパッタ率が増加する。磁石366は、プロセスチャンバ300の上部の周りに電磁場を生成し、磁石366は、プロセスのプラズマ密度に影響を与える電磁場を回転させるように回転されて、ターゲット306をより均一にスパッタリングする。例えば、回転シャフト370は、毎分約0から約150回だけ回転させることができる。
[0065] 幾つかの実施形態では、プロセスチャンバ300が、アダプター342のリッジ376に連結されたプロセスキットシールド374を更に含んでよい。アダプター342が、今度は、チャンバ壁308などのアルミニウムチャンバ側壁に密封され接地される。概して、プロセスキットシールド374は、アダプター342の壁及びチャンバ壁308に沿って下向きに基板支持ペデスタル302の上面の下方まで延在し、基板支持ペデスタル302の上面に達するまで上向きに戻る(底部においてU字状の部分384を形成している)。代替的には、プロセスキットシールドの最低部が、U字状の部分384である必要はなく、任意の適切な形状を有してよい。カバーリング386は、基板支持ペデスタル302が下方の装填位置にあるときは、プロセスキットシールド374の上向きに延在しているリッド388の上端上に載置され、しかし、基板支持ペデスタル302が上方の堆積位置にあるときは、基板支持ペデスタル302の外縁上に載置されて、スパッタリング堆積から基板支持ペデスタル302を保護する。更なる堆積リング(図示せず)を使用して、基板304の周縁を堆積から遮断することができる。プロセスキットシールドの実施形態は、本開示に従って以下で説明される。
[0066] 幾つかの実施形態では、磁石390が、基板支持ペデスタル302とターゲット306との間に磁場を選択的に提供するために、プロセスチャンバ300の周りに配置されてよい。例えば、図3で示されているように、磁石390は、処理位置にあるときの基板支持体ペデスタル302のすぐ上の領域内のチャンバ壁308の外側の周りに配置されてよい。幾つかの実施形態では、磁石390が、例えばアダプター342の隣などの他の箇所に付加的に又は代替的に配置されてよい。磁石390は、電磁石であってよく、電磁石によって生成される磁場の規模を制御するための電源(図示せず)に結合されてよい。
[0067] コントローラ310が、プロセスチャンバ300の様々な構成要素の動作を制御するために設けられ、それらの様々な構成要素に結合されてよい。コントローラ310は、中央処理装置(CPU)312、メモリ314、及びサポート回路(support circuit)316を含む。コントローラ310は、直接的に、又は、特定のプロセスチャンバ及び/又は支援システムの構成要素に結合されたコンピュータ(若しくはコントローラ)を介して、プロセスチャンバ300を制御することができる。コントローラ310は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用され得る、任意の形態を採る汎用コンピュータプロセッサのうちの1つであってよい。コントローラ310のメモリ又はコンピュータ可読媒体434は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態を採るデジタルストレージなど、容易に入手可能なメモリのうちの1以上であってよい。サポート回路316は、従来の方式でプロセッサを支援するためにCPU312に結合される。これらの回路は、キャッシュ、電力供給部、クロック回路、入出力回路、及びサブシステムなどを含む。本明細書で説明される本発明の方法は、本明細書で説明されるやり方でプロセスチャンバ300の動作を制御するために実行する又は呼び出すことができるソフトウェアルーチンとして、メモリ314内に記憶させることができる。ソフトウェアルーチンは、CPU312によって制御されているハードウェアから遠隔に位置付けられた、第2のCPU(図示せず)によって記憶され及び/又は実行されてもよい。
[0068] 幾つかの実施形態では、本開示が、基板上にニッケルシリサイド材料を生成するように構成された物理的気相堆積チャンバに関する。例えば、実施形態では、物理的気相堆積チャンバが、摂氏約15度から摂氏約27度の温度で基板の上に第1のニッケルシリサイドシード層を堆積させ、及び/又は摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を堆積させて、ニッケルシリサイド材料を生成するように構成されている。
[0069] したがって、基板に形成された高アスペクト比特徴内に金属を堆積させるための方法が、本明細書で提供されている。本発明の方法は、有利なことに、高アスペクト比特徴内でボイド生成を引き起こし得るオーバーハング又はファセットを低減させながら、高アスペクト比特徴の表面を金属で連続的に被覆する。本発明の方法は、Si貫通電極(TSV)用途、例えば、最初の製造方法又は最後の製造方法の何れかに向けて、ならびに連続的な金属層を堆積させることが好都合であり得る他の好適な用途で利用され得る。
[0070] 次に図4を参照すると、ニッケルシリサイド材料の抵抗率を低減させる方法400が示されている。方法400は、a)410において、摂氏約15度から摂氏約27度の温度で基板の上にニッケルシリサイド層を堆積させること、b)420において、摂氏350度を超える温度で、又は実施形態では摂氏400度以下の温度で、第1のニッケルシリサイドシード層をアニーリングすること、並びに、c)430において、ニッケルシリサイド材料が所定の厚さを有するまで、a)(410)及びb)(420)を繰り返すことを含む。実施形態では、プロセス条件が、方法100に関して上述したものと同じである。幾つかの実施形態では、基板が、第2のニッケルシリサイド層の上にTiNキャッピング層を堆積させることなどによって、更に処理されてよい。実施形態では、ニッケルシリサイド層を堆積させるための温度が、摂氏約20度から摂氏約25度、摂氏22度、摂氏23度、摂氏24度、又は摂氏25度である。実施形態では、ニッケルシリサイドシード層が、約20オングストロームから約60オングストローム、約30オングストロームから50オングストローム、約35オングストロームから45オングストローム、約20オングストローム、約25オングストローム、約30オングストローム、約35オングストローム、約40オングストローム、約50オングストローム、約60オングストロームの厚さを有する。幾つかの実施形態では、第1のニッケルシリサイドシード層及び第2のニッケルシリサイド層が、物理的気相堆積プロセス中に基板をニッケルシリサイドに曝露することによって堆積される。実施形態では、第1のニッケルシリサイドシード層及び第2のニッケルシリサイド層が、ニッケル及びケイ素のターゲットを含む物理的気相堆積プロセスを使用して形成され、ターゲットは、約1:1のニッケルとケイ素の比率を有する。例えば、実施形態では、ニッケルシリサイド材料が、20から50オーム/スクエア、20から40オーム/スクエア、20から30オーム/スクエア、20から35オーム/スクエア、20オーム/スクエア、20オーム/スクエア、21オーム/スクエア、22オーム/スクエア、23オーム/スクエア、24オーム/スクエア、25オーム/スクエア、26オーム/スクエア、27オーム/スクエア、28オーム/スクエア、29オーム/スクエア、30オーム/スクエア、35オーム/スクエア、又は40オーム/スクエアの量のシート抵抗を有する。
[0071] 幾つかの実施形態では、本開示が、ニッケルシリサイド材料の抵抗率を低減させるように構成された物理的気相堆積チャンバに関する。例えば、実施形態では、物理的気相堆積チャンバが、ニッケルシリサイド材料が所定の厚さを有するまで、摂氏約15度から摂氏約27度の温度で基板の上にニッケルシリサイド層を堆積させるように構成されている。
[0072] 次に図5を参照すると、基板上に相互接続を形成する方法500が示されている。幾つかの実施形態は、510において、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させること、520において、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、530において、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成することを含む。幾つかの実施形態は、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させること(510)、摂氏400度以下の温度で第1のニッケルシリサイドシード層をアニーリングすること(520)、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させ(530)て、ニッケルシリサイド材料を生成することを含む。
[0073] 幾つかの実施形態では、本開示が、基板上に相互接続を形成するように構成された物理的気相堆積チャンバに関する。例えば、実施形態では、物理的気相堆積チャンバが、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させ、及び/又は摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成するように構成されている。
[0074] 図6は、本発明に従って構成されたプロセスチャンバなどの少なくとも1つの堆積処理チャンバ300を含む例示的な統合システム600の概略平面図である。幾つかの実施形態では、統合システム600が、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているCENTURA(登録商標)統合処理システムであってよい。他の処理システム(他の製造業者からのものを含む)を、本開示から利益を得るように適合させることができる。
[0075] 幾つかの実施形態では、統合システム600が、処理プラットフォーム604などの減圧気密処理プラットフォーム、ファクトリインターフェース602、及びシステムコントローラ644を含む。処理プラットフォーム604は、少なくとも1つの堆積処理チャンバ300と、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601と、任意選択的な複数の処理チャンバ628、620、610と、移送チャンバ636などの減圧基板移送チャンバに結合された少なくとも1つのロードロックチャンバ622とを含む。2つのロードロックチャンバ622が、図6で示されている。ファクトリインターフェース602は、ロードロックチャンバ622によって移送チャンバ636に結合されている。
[0076] 一実施形態では、ファクトリインターフェース602が、少なくとも1つのドッキングステーション608と、少なくとも1つのファクトリインターフェースロボット614とを含み、基板の移送を容易にする。ドッキングステーション608は、1以上の前方開口型統一ポッド(FOUP)を受容するように構成されている。図6の実施形態では、2つのFOUP606A‐Bが示されている。ブレード616が一端に配置されたファクトリインターフェースロボット614は、ロードロックチャンバ622を通して処理するために、ファクトリインターフェース602から処理プラットフォーム604に基板を移送するように構成されている。任意選択的に、1以上の処理チャンバ610、620、628、少なくとも1つの堆積処理チャンバ300、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601を、ファクトリインターフェース602の末端部分626に連結して、FOUP606A‐Bからの基板の処理を容易にすることができる。
[0077] ロードロックチャンバ622のそれぞれは、ファクトリインターフェース602に結合されている第1のポートと、移送チャンバ636に結合されている第2のポートとを有する。ロードロックチャンバ622は、移送チャンバ636の減圧環境とファクトリインターフェース602の実質的に周囲環境(例えば大気環境)との間における基板の通過を容易にするために、ロードロックチャンバ622をポンプダウンして通気する圧力制御システム(図示せず)に結合されている。
[0078] 移送チャンバ636は、内部に配置された減圧ロボット630を有する。減圧ロボット630は、ロードロックチャンバ622と、少なくとも1つの堆積処理チャンバ300と、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601と、処理チャンバ610、620、及び628との間で、基板を移送することができるブレード634を有する。
[0079] 統合システム600の幾つかの実施形態では、統合システム600が、少なくとも1つの堆積処理チャンバ300と、他の処理チャンバ610、620、628と、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601とを含んでよい。幾つかの実施形態では、処理チャンバ610、620、628は、堆積チャンバ、エッチングチャンバ、熱処理チャンバ、又は他の類似の種類の半導体処理チャンバであってよい。
[0080] システムコントローラ644が、システム600に結合されている。システムコントローラ644は、計算デバイス641を含んでよく、又は計算デバイス441内に含まれてもよく、統合システム600の処理チャンバ610、620、628と、少なくとも1つの堆積処理チャンバ300と、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601との直接制御を使用して、統合システム600の動作を制御する。代替的に、システムコントローラ644は、処理チャンバ610、620、628、少なくとも1つの堆積処理チャンバ300、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601、及び統合システム600に結合されたコンピュータ(又はコントローラ)を制御してよい。動作では、システムコントローラ644がまた、それぞれのチャンバと、少なくとも1つの堆積処理チャンバ300、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバ601などの処理チャンバとからの、データの収集及びフィードバックを可能にして、統合システム600の性能を最適化することもできる。
[0081] システムコントローラ644は、概して、中央処理装置(CPU)638、メモリ640、及びサポート回路642を含む。CPU638は、工業環境で使用することができる任意の形態を採る汎用コンピュータプロセッサのうちの1つであってよい。サポート回路642は、従来、CPU638に結合され、キャッシュ、クロック回路、入出力サブシステム、電源などを含み得る。ソフトウェアルーチンが、CPU638を特定目的のコンピュータ(システムコントローラ)644に変換する。ソフトウェアルーチンはまた、統合システム600から遠隔に位置付けられている第2コントローラ(図示せず)によって、記憶され及び/又は実行されてもよい。ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態を採るローカル若しくは遠隔デジタルストレージなどの、容易に入手できるメモリのうちの1以上を含む、メモリ又はCPUのコンピュータ可読媒体が含まれてよい。
[0082] 幾つかの実施形態では、本開示が、統合システムを含む。該統合システムは、減圧基板移送チャンバと、本開示に従って堆積させるように構成された少なくとも1つの堆積処理チャンバと、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバとを含む。該統合システムは、本開示に従って堆積させるように構成された少なくとも1つの堆積処理チャンバから、本開示に従ってアニーリングするように構成された少なくとも1つの熱処理チャンバに、減圧下で基板を移動させるように構成されている。幾つかの実施形態では、本開示が、基板処理システムに関する。該基板処理システムは、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させ、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成するように構成された物理的気相堆積チャンバと、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングするように構成された熱処理チャンバとを含む。幾つかの実施形態では、該基板処理システムが、更に、物理的気相堆積チャンバが結合された減圧基板移送チャンバと、減圧基板移送チャンバに結合された熱処理チャンバとを含み、該基板処理システムは、物理的気相堆積チャンバから熱処理チャンバに減圧下で基板を移動させるように構成されている。
[0083] 幾つかの実施形態では、本開示が、コンピュータ可読媒体を含む。該コンピュータ可読媒体は、指示命令であって、実行されると、統合システムに基板の上にニッケルシリサイド材料を生成する方法を実行させる指示命令を記憶している。該方法は、摂氏約15度から摂氏約27度の温度で基板の上に第1のニッケルシリサイドシード層を堆積させること、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を堆積させて、ニッケルシリサイド材料を生成することを含む。
[0084] 幾つかの実施形態では、本開示が、コンピュータ可読媒体を含む。該コンピュータ可読媒体は、指示命令であって、実行されると、統合システムにニッケルシリサイド材料の抵抗率を低減させる方法を実行させる指示命令を記憶している。該方法は、a)摂氏約15度から摂氏約27度の温度で基板の上にニッケルシリサイド層を堆積させること、b)摂氏350度を超える温度でニッケルシリサイド層をアニーリングすること、並びに、c)ニッケルシリサイド材料が所定の厚さを有するまで、a)及びb)を繰り返すことを含む。
[0085] 幾つかの実施形態では、本開示が、コンピュータ可読媒体を含む。該コンピュータ可読媒体は、指示命令であって、実行されると、統合システムに基板上に相互接続を形成する方法を実行させる指示命令を記憶している。該方法は、摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させること、摂氏350度を超える温度で第1のニッケルシリサイドシード層をアニーリングすること、及び、摂氏約15度から摂氏約27度の温度で第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成することを含む。
[0086] 上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態を考案してもよい。

Claims (15)

  1. 基板上にニッケルシリサイド材料を生成するための方法であって、
    摂氏約15度から摂氏約27度の温度で基板の上に第1のニッケルシリサイドシード層を堆積させること、
    摂氏350度を超える温度で前記第1のニッケルシリサイドシード層をアニーリングすること、及び
    前記第1のニッケルシリサイドシード層をアニーリングした後に、摂氏約15度から摂氏約27度の温度で前記第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を堆積させて、前記ニッケルシリサイド材料を生成することを含む、方法。
  2. 前記ニッケルシリサイド材料をアニーリングすることを更に含む、請求項1に記載の方法。
  3. 前記第2のニッケルシリサイド層の上に窒化チタン(TiN)キャッピング層を堆積させることを更に含む、請求項1又は2に記載の方法。
  4. 前記第1のニッケルシリサイドシード層を堆積させるための前記温度が、摂氏約20度から摂氏約25度である、請求項1から3のいずれか一項に記載の方法。
  5. 前記第2のニッケルシリサイド層を堆積させるための前記温度が、摂氏約20度から摂氏約25度である、請求項1から4のいずれか一項に記載の方法。
  6. 前記第1のニッケルシリサイドシード層が、約20オングストロームから60オングストロームの厚さを有する、請求項1から5のいずれか一項に記載の方法。
  7. 前記第2のニッケルシリサイド層が、約50オングストロームから200オングストロームの厚さを有する、請求項1から6のいずれか一項に記載の方法。
  8. 前記第1のニッケルシリサイドシード層及び前記第2のニッケルシリサイド層は、物理的気相堆積プロセス中に前記基板をニッケルシリサイドに曝露することによって堆積される、請求項1から7のいずれか一項に記載の方法。
  9. 前記第1のニッケルシリサイドシード層及び前記第2のニッケルシリサイド層は、ニッケル及びケイ素のターゲットを含む物理的気相堆積プロセスを使用して形成され、前記ニッケル及びケイ素のターゲットは、約1:1のニッケルとケイ素との比率を有する、請求項1から8のいずれか一項に記載の方法。
  10. 前記ニッケルシリサイド材料は、スクエア当たり約20から50オームの量のシート抵抗を有する、請求項1から9のいずれか一項に記載の方法。
  11. 前記第1のニッケルシリサイドシード層をアニーリングすることが、摂氏約355度から摂氏450度の温度で行われる、請求項1から10のいずれか一項に記載の方法。
  12. 請求項1に記載の方法を実施するための基板処理システムであって、
    摂氏約15度から摂氏約27度の温度で基板上に第1のニッケルシリサイドシード層を物理的気相堆積させ、摂氏約15度から摂氏約27度の温度で前記第1のニッケルシリサイドシード層の上に第2のニッケルシリサイド層を物理的気相堆積させて、ニッケルシリサイド材料を生成するように構成された物理的気相堆積チャンバ、及び
    摂氏350度を超える温度で前記第1のニッケルシリサイドシード層をアニーリングするように構成された熱処理チャンバを備える、基板処理システム。
  13. 前記物理的気相堆積チャンバが結合された減圧基板移送チャンバ、及び
    前記減圧基板移送チャンバに結合された熱処理チャンバを更に備え、前記基板処理システムは、前記物理的気相堆積チャンバから前記熱処理チャンバに、減圧下で基板を移動させるように構成されている、請求項12に記載の基板処理システム。
  14. ニッケルシリサイド材料の抵抗率を低減させる方法であって、
    a)摂氏約15度から摂氏約27度の温度で基板の上にニッケルシリサイド層を堆積させること、
    b)摂氏350度を超える温度で前記ニッケルシリサイド層をアニーリングすること、並びに
    c)前記ニッケルシリサイド材料が所定の厚さを有するまで、a)及びb)を繰り返すことを含む、方法。
  15. 指示命令であって、実行されたときに、統合システムに請求項1に記載の方法又は請求項14に記載の方法を実行させる指示命令を記憶している、コンピュータ可読媒体。
JP2021500998A 2018-07-17 2019-07-16 ニッケルシリサイド材料を生成する方法 Active JP7155388B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862699502P 2018-07-17 2018-07-17
US62/699,502 2018-07-17
US16/511,627 2019-07-15
US16/511,627 US11965236B2 (en) 2018-07-17 2019-07-15 Method of forming nickel silicide materials
PCT/US2019/041928 WO2020018491A1 (en) 2018-07-17 2019-07-16 Method of forming nickel silicide materials

Publications (2)

Publication Number Publication Date
JP2021530869A JP2021530869A (ja) 2021-11-11
JP7155388B2 true JP7155388B2 (ja) 2022-10-18

Family

ID=69161663

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021500998A Active JP7155388B2 (ja) 2018-07-17 2019-07-16 ニッケルシリサイド材料を生成する方法

Country Status (6)

Country Link
US (1) US11965236B2 (ja)
JP (1) JP7155388B2 (ja)
KR (1) KR102457643B1 (ja)
CN (1) CN112424909B (ja)
TW (1) TWI723465B (ja)
WO (1) WO2020018491A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7381700B2 (ja) 2021-12-02 2023-11-15 中国安全生▲産▼科学研究院 アトリウム式地下鉄駅の火災用換気・排煙システムおよび方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11764057B2 (en) * 2021-05-24 2023-09-19 Che Inc. Method of forming structure having coating layer and structure having coating layer
US11626288B2 (en) 2021-07-30 2023-04-11 Applied Materials, Inc. Integrated contact silicide with tunable work functions
WO2024097095A1 (en) * 2022-10-31 2024-05-10 Applied Materials, Inc. High power seed layer patterning on piezoelectric thin films for piezoelectric device fabrication

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004070804A1 (ja) 2003-02-07 2004-08-19 Nec Corporation ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2010016102A (ja) 2008-07-02 2010-01-21 Fuji Electric Device Technology Co Ltd 炭化珪素半導体装置の製造方法
US20160204027A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
JP2016540368A (ja) 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680223B1 (en) * 1997-09-23 2004-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US20020031909A1 (en) * 2000-05-11 2002-03-14 Cyril Cabral Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
KR100369340B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 티타늄실리사이드의 형성 방법
US7212767B2 (en) 2002-08-09 2007-05-01 Ricoh Company, Ltd. Image forming apparatus and process cartridge removably mounted thereto
US20050212058A1 (en) * 2004-03-23 2005-09-29 Yi-Chun Huang Resistance-reduced semiconductor device and fabrication thereof
US7432184B2 (en) 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
JP4674165B2 (ja) * 2006-01-17 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7803707B2 (en) 2006-08-17 2010-09-28 Wisconsin Alumni Research Foundation Metal silicide nanowires and methods for their production
JP5309454B2 (ja) * 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009004495A (ja) * 2007-06-20 2009-01-08 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2009059758A (ja) * 2007-08-30 2009-03-19 Toshiba Corp 半導体装置及びその製造方法
WO2009134925A2 (en) * 2008-04-29 2009-11-05 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in copper contact applications
JP5538975B2 (ja) 2010-03-29 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103681312A (zh) * 2013-10-23 2014-03-26 上海华力微电子有限公司 一种采用激光退火生成镍硅化物的方法
US9905648B2 (en) * 2014-02-07 2018-02-27 Stmicroelectronics, Inc. Silicon on insulator device with partially recessed gate
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
WO2016111832A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Laminate and core shell formation of silicide nanowire
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004070804A1 (ja) 2003-02-07 2004-08-19 Nec Corporation ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2010016102A (ja) 2008-07-02 2010-01-21 Fuji Electric Device Technology Co Ltd 炭化珪素半導体装置の製造方法
JP2016540368A (ja) 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US20160204027A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7381700B2 (ja) 2021-12-02 2023-11-15 中国安全生▲産▼科学研究院 アトリウム式地下鉄駅の火災用換気・排煙システムおよび方法

Also Published As

Publication number Publication date
CN112424909A (zh) 2021-02-26
WO2020018491A1 (en) 2020-01-23
JP2021530869A (ja) 2021-11-11
KR102457643B1 (ko) 2022-10-20
CN112424909B (zh) 2024-08-16
TWI723465B (zh) 2021-04-01
KR20210021105A (ko) 2021-02-24
US20200024722A1 (en) 2020-01-23
US11965236B2 (en) 2024-04-23
TW202010858A (zh) 2020-03-16

Similar Documents

Publication Publication Date Title
JP7155388B2 (ja) ニッケルシリサイド材料を生成する方法
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US8993434B2 (en) Methods for forming layers on a substrate
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
CN110574143A (zh) 阻挡膜沉积及处理
US10163699B2 (en) Cu wiring forming method and semiconductor device manufacturing method
US20240213088A1 (en) Subtractive metals and subtractive metal semiconductor structures
US9406558B2 (en) Cu wiring fabrication method and storage medium
JP2023510148A (ja) 制御された冷却を伴う物理的気相堆積(pvd)によってアルミニウムを堆積させるための方法及び装置
US11670485B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
KR102670444B1 (ko) 기판에 배치된 피처를 충전하기 위한 방법들 및 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210304

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220906

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221005

R150 Certificate of patent or registration of utility model

Ref document number: 7155388

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150