TWI828904B - 用於填充設置在基板中的特徵的方法與設備 - Google Patents

用於填充設置在基板中的特徵的方法與設備 Download PDF

Info

Publication number
TWI828904B
TWI828904B TW109114708A TW109114708A TWI828904B TW I828904 B TWI828904 B TW I828904B TW 109114708 A TW109114708 A TW 109114708A TW 109114708 A TW109114708 A TW 109114708A TW I828904 B TWI828904 B TW I828904B
Authority
TW
Taiwan
Prior art keywords
cobalt
copper
substrate
over
feature
Prior art date
Application number
TW109114708A
Other languages
English (en)
Other versions
TW202104640A (zh
Inventor
李睿
祥金 謝
富宏 張
席利許 比瑟
阿道夫 艾倫
仲蘭蘭
先敏 唐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202104640A publication Critical patent/TW202104640A/zh
Application granted granted Critical
Publication of TWI828904B publication Critical patent/TWI828904B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

茲提供以銅填充特徵之方法及設備。在一些實施例中,所述方法包括:(a)透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b)在基板區域之上的第一鈷部分之上沉積銅;以及(c)使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。

Description

用於填充設置在基板中的特徵的方法與設備
大體而言,本揭示內容之實施例涉及處理基板之方法,且具體而言,涉及用於形成金屬互連結構(如銅互連結構)的鈷襯層之方法。
諸如IC (積體電路)之類的半導體裝置通常具有電子電路元件,諸如整合地製造在半導體材料的單個主體上之電晶體、二極體和電阻器。各種電路元件藉由導電連接器相連,以形成一個完整的電路,其中可以包含數百萬個單獨的電路元件。互連線提供積體電路的各種電子元件之間的電連接,並在電路元件和裝置的外部接觸元件(例如引腳)之間形成連接,以將積體電路連接到其他電路。通常,互連線在電子電路元件之間形成水平連接,而導電介層孔插塞在電子電路元件之間形成垂直連接,從而形成分層連接。
半導體材料和處理技術的進步已導致積體電路元件的整體尺寸減小,同時增加了它們在單個本體上的數量或密度。然而,發明人已經觀察到,隨著裝置節點變得更小(例如,接近約22nm或更小的維度),呈現了降低電遷移壽命及降低裝置可靠性等挑戰。舉例而言,銅是一種極好的導體,且因為諸如金屬填充介層孔之類的銅部件在較小尺寸下具有出色的功能而已用於晶片中。但是,除非有例如包含在襯層中,否則銅將造成問題地擴散到周圍環境中或沿著弱界面擴散。發明人已經觀察到某些襯層有缺陷並且降低了互連裝置的可靠性。例如,襯層材料可以沉積在基板的區域之上並在如介層孔之特徵內,並有害地影響沉積在其上的銅材料的流動或重流(reflow)。進而,相較於特徵的側壁上之銅的遷移率,襯層材料可能以造成問題地方式促使基板的區域上之較高的銅遷移率,導致導線的銅末端聚結或重流的銅材料在特徵(如高深寬比介層孔)的拐角處懸垂(overhang)。另外,發明人已經觀察到襯層材料以造成問題地方式減少或抑制了銅重流進入特徵(如包括襯層的介層孔)內,導致在特徵孔的頂角處形成銅懸垂及/或促進在銅特徵插塞中形成孔隙或間隙,降低裝置的可靠性。此外,發明人已經觀察到襯層可能在特徵內佔據太多空間,從而減少了特徵中諸如銅等導電材料的量並增加了裝置的電阻率。
因此,需要改良的襯層及用於形成供銅互連結構所用的襯層之方法。
本文提供以銅填充一或多個特徵之方法及設備。在一些實施例中,以銅填充特徵之方法包括以下步驟:(a) 透過物理氣相沉積(PVD)製程,在基板區域(substrate field)之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。
在一些實施例中,填充基板上的銅特徵之方法包括以下步驟:(a) 於物理氣相沉積腔室內,從鈷靶材濺射鈷,以在基板區域(substrate field)之上且在設置於基板中之特徵的側壁及底部表面之上形成第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。
在一些實施例中,本揭示內容涉及非暫態(non-transitory)電腦可讀取媒體,具有儲存於其上之指令,當指令被執行時,導致填充特徵之方法,該方法包含以下步驟:(a) 透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。
在一些實施例中,本揭示內容涉及以銅填充特徵之方法,包括以下步驟:(a) 透過物理氣相沉積(PVD)製程,在基板區域(substrate field)之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率,且其中以無孔隙銅(void-free copper)填充特徵。
本揭示內容的其他和進一步實施例描述於下文。
本文提供用於選擇性地形成銅互連結構之鈷襯層之方法及設備。在實施例中,以銅填充特徵之方法包括以下步驟:(a) 透過物理氣相沉積(PVD)製程,在基板區域(substrate field)之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。發明人已發現到,藉由物理氣相沉積(PVD)製程沉積的鈷襯層可有利地改善特徵之銅由下而上的間隙填充,減少了特徵內的襯層材料的量,增加了銅導電材料的可用空間,同時降低裝置的電阻率,和其他改進的裝置特性。進而,發明人已發現到,藉由使用由物理氣相沉積(PVD)製程所沉積之鈷襯層,可以形成襯層材料以選擇性地改變沉積在襯層材料上之銅的附著力,從而改變流過襯層材料之銅的遷移率(如藉由改變純度及/或基板或基板區域之上的襯層的厚度)。藉由調節在由本揭示內容之PVD製程形成的鈷襯層之上流動或回流之銅的遷移率,可以改良特徵內之銅插塞(copper plug)。本發明之方法可以與任何裝置節點(device node)一起使用,但是在約25奈米(nm)或更小(例如約3 nm至約25 nm,如20 nm、14nm、7nm、5nm或3 nm)之裝置節點中可特別有利。
第1圖描繪根據本揭示內容的某些實施例之以銅填充特徵的方法100之流程圖。以下參照如第2A至2E圖所描繪之互連結構來描述方法100。可以在被配置用於化學氣相沉積(CVD)、物理氣相沉積(PVD)或原子層沉積(ALD)中之一或多者的任何合適的製程腔室中進行方法100。適用於進行本文揭示之發明方法的示例性處理系統可以包括但不限於:ENDURA® 、CENTURA® 或PRODUCER® 等產品線之處理系統,及ALPS® Plus或SIP ENCORE® PVD製程腔室,全部都可以從加州聖克拉拉市的應用材料公司購得。在實施例中,諸如ENCORE® PVD製程腔室之類的PVD製程腔室可被配置為包括一或多個鈷靶材及一或多個鈷線圈,此配置用於在PVD室中將鈷沉積在包括區域和一或多個特徵的基板之上。結合本文提供的教示,也可以適當地使用其他製程腔室,包括可從其他製造商處獲得的製程腔室。在一些實施例中,可以在諸如第3圖所描繪之製程腔室300之類的沉積(PVD)處理系統中執行由物理氣相沉積(PVD)製程沉積第一鈷層的步驟。適用於進行本文所述方法100之PVD鈷沉積的其他PVD腔室的實例包括CIRRUSTM 及AVENIR® PVD製程腔室,兩者均可以從加州聖克拉拉市的應用材料公司購得。在實施例中,PVD腔室可包括磁控管(magnetron)。
在一些實施例中,可藉由向PVD製程腔室(例如,第3圖所示的製程腔室300)提供基板開始方法100。第3圖所描繪之製程腔室300包括用於接收其上的基板304之基板支撐台座302及濺射源(如靶材306)。基板支撐台座302可位於接地的腔室壁308內,該腔室壁可以是腔室壁(如圖所示)或接地屏蔽,如覆蓋靶材306上方之製程腔室300的至少一些部分之接地屏蔽340。在一些實施例中,接地屏蔽340可在靶材下方延伸,以包圍基板支撐台座302。
如以下參照第3圖所描述的,製程腔室300進一步包含RF功率源318,以向包括諸如鈷源材料等源材料之靶材306提供RF能量。在一些實施例中,製程腔室包括饋送結構,用於將RF和脈衝DC能量耦接到靶材306。饋送結構是用於將RF和脈衝DC能量耦接到靶材或到含有靶材的組件(如下文所述)之設備。饋送結構的第一端可耦接至RF功率源318及脈衝DC功率源320,所述RF功率源318及脈衝DC功率源320可分別用於提供RF及脈衝DC能量至靶材306。舉例而言,DC功率源320可用於施加負電壓或偏壓至靶材306。在一些實施例中,由RF功率源318供應之RF能量可適於提供如上文所述之頻率或頻率範圍。在一些實施例中,可將約13至約60 MHz或27至約40MHz或約40 MHz的頻率下之約0.25至約6千瓦的RF能量提供至靶材。在實施例中,可將約0.5至15.0千瓦的DC功率提供至鈷靶材或含鈷靶材。在一些實施例中,可提供複數個RF功率源(即,兩個或更多個),以提供複數個上述頻率之RF能量。可由合適的導電材料製成饋送結構,以傳導來自RF功率源318和脈衝DC功率源320之RF和脈衝DC能量。
在一些實施例中,可以本案所屬技術領域中已知的方式配置靶材306,且靶材306可包括如本文所述之一或多個鈷靶材或鈷源材料。適用於根據本文之教示來改質或適用於進行上述製程的一個示例性PVD處理系統為可從加州聖克拉拉市的應用材料公司購得之ENDURA® Cirrus™ HTX PVD系統。在實施例中,合適的PVD腔室包括在2014年8月5日授予Ritchie等人之美國專利第8,795,487號以及在2002年10月10日公開之Rong Tao等人的美國專利公開第2002/0144889號中描述之腔室。在實施例中,合適的PVD腔室包括在2017年4月7日提申且在2018年10月11日公開之Xie等人的美國專利公開第2018/0294162號中描述之腔室。在實施例中,將一或多個鈷靶材及一或多個鈷線圈安置在PVD腔室中之基板的上方或鄰近處,且一或多個鈷靶材及一或多個鈷線圈經配置以如本文所述將鈷沉積為基板之上的一層。
在一些實施例中,製程腔室包括RF功率源398,RF功率源398經配置以將RF能量提供至線圈399及/或將脈衝DC能量從DC功率源397提供至線圈399。舉例而言,可利用DC功率源397來對線圈399施加負電壓、或偏壓。在一些實施例中,由RF功率源398供應之RF能量可適於提供如上文所述之頻率或頻率範圍。在一些實施例中,可將約13至約60 MHz或27至約40MHz或約40 MHz的頻率下之約0.25至約6千瓦的RF能量提供至線圈。在實施例中,可將約0.5至15.0千瓦的DC功率提供至鈷或含鈷線圈,如線圈399。在一些實施例中,可提供複數個RF功率源(即,兩個或更多個),以提供複數個上述頻率之RF能量。在實施例中,可由合適的導電材料製成饋送結構,以傳導來自RF功率源398和脈衝DC功率源397之RF和脈衝DC能量。在一些實施例中,線圈399經配置而包括如本文所述之一或多個鈷線圈或鈷源材料。
第2A圖描繪了具有開口202之基板200,開口202形成於基板200的第一表面204中並朝向基板200的相對第二表面206延伸進入基板200。基板200可為具有形成於基板中的開口202之任何合適的基板。舉例而言,基板200可包括介電材料、矽(Si)、金屬等中之一或多者。此外,基板200可以包括額外的材料層,或者可以具有形成在基板200之中或之上的一或多個完成或部分完成的結構。舉例而言,基板200可包括第一介電層212,如氧化矽、低k材料(例如,介電常數小於氧化矽或小於約3.9的材料)等。開口202可形成於第一介電層212中。在一些實施例中,第一介電層212可設置在第二介電層214之上,第二介電層214可如氧化矽、氮化矽、碳化矽等。第一導電材料(例如,導電材料220)可設置在第二介電層214中並對準開口202,因此當開口填充有第二導電材料(如,銅)時,開口提供了進出第一導電材料之電氣路徑(electrical path)。
開口202可以是任何開口,例如溝槽、介層孔、雙鑲嵌結構等。在一些實施例中,開口202可具有約4:1或更高的高度對寬度之深寬比,如4:1至9:1,或4:1至20:1 (如,高深寬比)。在一些實施例中,開口202為具有25 nm或更小的直徑(例如約3 nm至約25 nm,如20 nm、14nm、7nm、5nm或3 nm)之溝槽孔洞或介層孔洞。可以藉由使用任何合適的蝕刻製程來蝕刻基板200而形成開口202。開口202包括底部表面208和側壁210。
在一些實施例中,在沉積諸如由根據本揭示內容的PVD製程形成之鈷襯層等襯層或如下文所述之銅填充材料之前,可視情況以一或多個層覆蓋基板200。舉例而言,開口202的一或多個側壁210、開口的底部表面208以及基板200的第一表面204可以被阻障層216 (以虛線示出)覆蓋。阻障層216可用於防止隨後沉積的金屬層擴散進入下方層,如第一介電層212。阻障層216可包括適合用作阻障物的任何材料。舉例而言,在一些實施例中,阻障層216可包括金屬,例如,鈦(Ti)、鉭(Ta)、鈷(Co)(如CVD沉積之鈷)、錳(Mn)、鎢(W)、鉿(Hf)、前述者之合金等;或在一些實施例中,金屬氮化物,如氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)等。阻障層216可具有適於防止後續沉積之金屬層材料擴散進入下方層之任何厚度。舉例而言,在一些實施例中,阻障層216可具有約10至約50埃的厚度。可藉由適於提供適當厚度的阻障層216之任何製程來形成阻障層216。舉例而言,在一些實施例中,可透過沉積製程(如化學氣相沉積、物理氣相沉積)或循環沉積製程(如原子層沉積)等來形成阻障層216。在實施例中,阻障層216包含藉由化學氣相沉積(CVD)製程所沉積之一或多個鈷層。在實施例中,CVD製程可沉積鈷,以將阻障層216,或一或多層阻障層216形成至足以作為阻障層的厚度。在一些實施例中,阻障層216包括總厚度為10至15埃之一或多個CVD鈷層。在實施例中,阻障層216包含如上所述在基板之上共形沉積的材料層,及藉由CVD製程在第一層之上直接沉積之鈷的第二層,其含量足以被共形地沉積。
在一些實施例中,且如第2A圖中的虛線所示,開口202可以完全延伸穿過基板200和第二基板228的上表面226,且可形成開口202的底部表面208。第二基板228可經設置而鄰近基板200的第二表面206。進而(如用虛線圖解),第一導電材料(如,導電材料220),例如作為裝置(如邏輯裝置等)的一部分,或通向需要電連接的裝置之電氣路徑(如閘極、接觸墊、導線或介層孔等),可被設置在第二基板228的上表面226中並對準開口202。在一些實施例中,對準開口202之第一導電材料(如,220)可包括銅。
現請參見第2B圖,在一些實施例中,基板200可包括第一鈷層224,第一鈷層224設置在基板200 (例如第一介電層212)之上,或視情況在阻障層216之上。在實施例中,可藉由在PVD腔室(如,第3圖中之製程腔室300)中進行的PVD製程形成第一鈷層224,以提供在第一鈷層224的長度上具有預定厚度或變化厚度的襯層,如下文所述。在實施例中,透過物理氣相沉積(PVD)製程將第一鈷層224沉積在基板區域262之上且在設置於基板200中之特徵的側壁210及底部表面208之上,以形成基板區域262之上的第一鈷部分(如箭頭263所示)及側壁210之上的第二鈷部分(如箭頭264所示)。在一些實施例中,如第2B圖所示,可視情況將阻障層216設置於第一鈷層224與基板200之間。因此,在一些實施例中,第一鈷部分(如箭頭263所示)可以不直接位在基板區域262之上,而第二鈷部分(如箭頭264所示)可以不直接位在側壁210之上。在實施例中,阻障層216可包括藉由化學氣相沉積(CVD)方法所沉積之鈷材料。在一些實施例中,阻障層216為沉積至約10至100埃的厚度之CVD鈷。
如本文所述,在一些實施例中,可由PVD沉積製程形成第一鈷層224,以沉積具有預定厚度的鈷。在實施例中,第一鈷層224的第一鈷部分(第2B圖中之箭頭263下方的部分)可具有第一厚度,且第一鈷層224的第二鈷部分(第2B圖中之箭頭264附近的部分)可具有第二厚度,例如比第一厚度薄。在一些實施例中,第一鈷層224的第一鈷部分可具有以下量之厚度:約30至約60埃,如30埃、35埃、40埃、50埃等。在一些實施例中,第一鈷層224的側壁之上的第二鈷部分可具有以下量之第二厚度:約10至約25埃,如10埃、15埃、20埃等。在實施例中,可以箭頭267示出第一鈷層224的第一鈷部分之厚度,並可以箭頭268示出第一鈷層224的第二鈷部分之厚度。在實施例中,第二鈷部分(設置在特徵的側壁上)的厚度比設置在基板的區域上之第一鈷部分薄約10%至60% (例如薄10%、20%、30%、40%等),如箭頭263下方的襯層部分所表示的那樣。
在一些實施例中,第一鈷層224是直接設置在基板200的第一表面204之上並在開口202的側壁210和底部表面208上的襯層。在一些實施例中,可以在沉積第一鈷層224之前加熱基板200。在一些實施例中,第一鈷層224被沉積至約10至100埃的厚度。在一些實施例中,第一鈷層224被共形沉積以覆蓋諸如溝槽或介層孔等特徵的側壁和底部表面,而不填充特徵。
在實施例中,方法100包括在製程序110處透過物理氣相沉積(PVD)製程將第一鈷層224沉積在基板區域262之上且在設置於基板200中之特徵的側壁210及底部表面208之上,以形成基板區域262之上的第一鈷部分(箭頭263下方)及側壁210之上的第二鈷部分(箭頭264附近)。在一些實施例中,第一鈷層224的厚度是預定的。在實施例中,大致上如第2A至2E圖所示,第一鈷層224的形狀實質上均勻且共形,但是與第二鈷部分相比,第一鈷部分中可能會發生變化,例如厚度增加。在實施例中,相較於第一鈷層224的較薄部分(例如,第二鈷部分,箭頭264附近),第一鈷層224的較厚部分將降低沉積在第一鈷層224的表面225上之銅流過第一鈷層224的較厚部分(例如,第一鈷部分,箭頭263下方)的能力。在實施例中,相較於第一鈷層224的較厚部分,第一鈷層224的較薄部分將增加沉積在其上之銅流過較薄部分的能力。在一些實施例中,藉由改變第一鈷層224的厚度,將改變其上之銅的重流(re-flow)。在一些實施例中,相較於第一鈷層224的較不純、經摻雜或經混摻(adulterated)部分(例如當鈷部分與1%至10%的碳混合時),第一鈷層224的較純部分將降低沉積在其上之銅流過較純部分的能力。
在一些實施例中,如第2B圖所示,基板區域262及特徵203的側壁210覆蓋有鈷或含鈷材料。在實施例中,可以藉由在物理氣相沉積(PVD)腔室中進行電漿製程,以將鈷原子從靶材濺射到基板區域262上並進入特徵203且到側壁210上,而形成鈷或含鈷材料的覆蓋物,物理氣相沉積腔室可以是任何PVD腔室,其經配置而以本文揭示之方式沉積鈷和含鈷材料。為了執行第一鈷層224的沉積製程,將RF及/或DC功率提供至設置在PVD製程腔室內之鈷或含鈷的靶材(如靶材306)及/或線圈(如線圈399)。在一些實施例中,可將約13至約60 MHz或27至約40MHz或約40 MHz的頻率下之約0.25至約6千瓦的RF能量提供至靶材及/或線圈。在實施例中,可將約0.5至15.0千瓦的DC功率提供至鈷或含鈷靶材及/或線圈。在一些實施例中,適於在此使用之PVD腔室被配置為向靶材提供DC功率。在一些實施例中,適於在此使用之PVD腔室被配置為向目標提供DC功率及線圈。在一些實施例中,適於在此使用之PVD腔室被配置為向一或多個線圈(如線圈399)提供DC功率及RF。在一些實施例中,適於在此使用之PVD腔室被配置為向一或多個靶材提供DC功率,並向一或多個線圈提供DC功率及RF。在一些實施例中,適於在此使用之PVD腔室被配置為從基板下方之基板支撐台座302提供RF及DC功率。在一些實施例中,適於在此使用之PVD腔室被配置為從台座輸送RF。在一些實施例中,適於在此使用之PVD腔室被配置為向一或多個線圈輸送RF。在實施例中,施加到線圈之RF的合適量包括1-5MHz,如2MHz。
此外,如第3圖所示之PVD製程腔室300維持在約1 mTorr至約150 mTorr或約10 mTorr至約150 mTorr之壓力下。可將約5至約30 MHz或約10至約15 MHz或約13.56 MHz的頻率下之約10 W至3000 W (例如至少約300 W)的RF偏壓功率提供至基板支撐件。
在實施例中,PVD製程包括合適的氣體以促進濺射。氣體源可提供合適的氣體物種,如惰性氣體(如氬氣、氪氣、氖氣等)、氫氣(H2 )或其組合。在實施例中,電漿製程包括從氫氣或惰性氣體形成之電漿。在一些實施例中,僅提供H2 氣體。
仍指形成第一鈷層224的製程,電漿製程腔室可包括高溫加熱器,所述高溫加熱器適合將基板加熱到約150°C至約500°C或約200°C至約350°C的溫度。
在一些實施例中,鈷靶材原子撞擊基板200以形成第一鈷層224。根據本揭示內容,適合使用的沉積速率為0.1至10埃/秒。因此,物理氣相沉積腔室可經配置以利用0.1至10埃/秒的鈷沉積速率。在一些實施例中,高密度PVD鈷應用可減少雜質,並在沉積第一鈷層224的同時促進鈷晶粒生長。
在一些實施例中,可進行PVD處理,其中第一鈷層224具有變化的厚度及/或純度,其變化量足以改變沉積在其上之銅的附著度。或者,可進行PVD處理,其中第一鈷層224具有變化的厚度及/或純度,其變化量足以改變沉積在其上之銅流過第一鈷部分之遷移率(相較於第二鈷部分)。在實施例中,第一鈷層224的第一鈷部分可以是實質上純的(如,純度為99.99%)或純鈷,而特徵的側壁之上的第二鈷部分則被摻雜或混摻以包括佔總第二鈷部分之重量0.1%至10%的碳。舉例而言,向鈷部分添加碳可增加在其上流動之銅的流速或降低銅對其的附著度。在實施例中,相較於本揭示內容的第一鈷部分,在本揭示內容的第二鈷部分上之銅的流速可更快5%至50%,如更快約10%、20%或30%。
請回頭參見第1圖(在120處)及第2C圖,本揭示內容之實施例包括在第一鈷層224之上沉積銅227。在實施例中,銅沉積在第一鈷層224之上,第一鈷層224包括基板200之上的第一鈷部分。在實施例中,銅227沉積在直接位於第一鈷部分和第二鈷部分之上的層中。在一些實施例中,銅沉積在特徵內。在一些實施例中,以50至150埃的量沉積銅,可循環重複所述沉積。
請參見第1圖(在130處)及第2C圖,本揭示內容之實施例包括:使設置在基板之上的第一鈷部分(第2B圖中之箭頭263下方)之上的銅227流過第二鈷部分(箭頭264附近)並進入特徵203,其中相較於第二鈷部分(箭頭264附近)上方之銅的遷移率,基板之上的第一鈷部分(箭頭263下方)降低銅的遷移率。如第2C圖所示,由於銅對基板之上的第一鈷部分(第2B圖中之箭頭263下方)之附著度大於對特徵內或特徵的側壁上之第二鈷部分之附著度,故銅在拐角275附近變薄。在實施例中,由於銅對基板之上的第一鈷部分(第2B圖中之箭頭263下方)之附著度大於對特徵內或特徵的側壁上之第二鈷部分之附著度,故銅被釘在拐角275附近。在實施例中,將銅227加熱至大於攝氏150度之溫度,以使銅從第一鈷部分流過第二鈷部分。由於第二鈷部分的銅遷移率更高,因此避免了空隙的形成和突出。在實施例中,可藉由在第一鈷部分上循環沉積銅並從第一鈷部分重流過第二鈷部分的方式將諸如銅等第二導電材料230沉積在特徵內。在一些實施例中,沉積在第一鈷部分之上的銅可以被加熱或退火,以將沉積的銅材料拉入開口202內(例如,經由毛細作用)。可以在約攝氏150度至約攝氏400度之溫度下進行加熱製程。在一些實施例中,第一鈷層224允許第二導電材料230或銅227重流進入並填充開口,而不會在開口中形成空隙。在完成加熱製程之後,開口202的底部表面208可以覆蓋有沉積的材料。在一些實施例中,可重複進行重流導電材料(如銅)或沉積導電層的第二導電材料230並對所沉積之第二導電材料進行退火等製程,以填充開口202,如第2C圖中之特徵內的兩條虛線298和299所示(代表以諸如銅等導電材料在特徵內之不同部分填充之特徵水平),且第2D圖顯示了特徵被諸如銅之導電材料230完全填充。在一些實施例中,可以使用諸如在酸性溶液中的濕式清潔、化學或電化學機械研磨等技術來從開口202上方的上表面去除過量的沉積材料。
在實施例中,如第2E圖所描繪,在形成銅填充特徵之後,可以對裝置進行研磨和平坦化,以去除沉積在第一表面204之上的層。舉例而言,可使用化學機械平坦化(CMP)方法以形成如第2E圖所示之結構,該結構具有暴露的金屬表面(如金屬表面265),在實施例中,金屬表面265是低k介電層內之特徵插塞(feature plug)的頂表面,所述低k介電層包括暴露的介電層區域(如包含低k材料或由低k材料構成之基板區域262)。在實施例中,金屬表面265等同於基板區域262,例如,金屬表面265和基板區域262形成基板200的連續層或連續頂表面。
請回頭參見第3圖,適於在本文中使用之PVD腔室,例如,PVD腔室 (如,製程腔室300)包括如上所述之靶材306和線圈399。在一些實施例中,製程腔室300包括饋送結構,用於將RF和脈衝DC能量耦合到靶材306。饋送結構是用於將RF和脈衝DC能量耦合到靶材或到含有靶材的組件(如本文所述)之設備。饋送結構的第一端可耦接至RF功率源318及脈衝DC功率源320,所述RF功率源318及脈衝DC功率源320可分別用於提供RF及脈衝DC能量至靶材306。舉例而言,DC功率源320可用於施加負電壓或偏壓至靶材306。在一些實施例中,由RF功率源318供應之RF能量可適於提供如上文所述之頻率。
在一些實施例中,饋送結構可有適合長度,以促進相應的RF和脈衝DC能量在饋送結構周邊的實質上均勻分佈。舉例而言,在一些實施例中,饋送結構可具有約1至約12吋,或約4吋的長度。在一些實施例中,主體可擁有至少約1:1的長度對內徑比。提供至少1:1或更大的比率可提供從饋送結構之更均勻的RF輸送(亦即,RF能量圍繞饋送結構更均勻分散,以使RF近於耦接至饋送結構的真實中心點)。饋送結構的內徑可以儘可能小,例如,直徑從約1吋至約6吋,或約4吋。提供較小的內徑有助於改進長度對內徑比,而不會增加饋送結構的長度。
饋送結構的第二端可耦接至來源分佈板322。來源分佈板包含孔324,孔324穿過來源分佈板322設置,並與饋送結構的中央開口對準。來源分佈板322可由適合的導電材料製成,以傳導來自饋送結構的RF和脈衝DC能量。
來源分佈板322可經由傳導元件325耦接至靶材306。傳導元件325可以是擁有第一端326的管狀元件,第一端326在接近來源分佈板322外緣處耦接至來源分佈板322的面向靶材表面328。傳導元件325進一步包含第二端330,第二端330在接近靶材306外緣處耦接至靶材306之面向來源分佈板表面332 (或是耦接至靶材306的背板346)。
傳導元件325的內壁、來源分佈板322的面向靶材表面328以及靶材306的面向來源分佈板表面332界定出空腔334。空腔334經由來源分佈板322的孔324流體耦接至主體的中央開口315。空腔334與主體的中央開口315可用來至少部分地容納可旋轉的磁控管組件336的一或多個部分,如第3圖所示並在下文進一步所述。在一些實施例中,空腔可至少部分地填充有冷卻流體,例如水(H2 O)等。
可提供接地屏蔽340以覆蓋製程腔室300的蓋體之外表面。接地屏蔽340可經由,例如,腔室主體的接地連接耦接至接地。接地屏蔽340具有中央開口,以容許饋送結構穿過接地屏蔽340,以耦接至來源分佈板322。接地屏蔽340可包含任何合適的導電材料,如鋁、銅等。在接地屏蔽340與來源分佈板322的外表面、導電元件325及靶材306(及/或背板346)之間提供絕緣間隙339,以避免RF和脈衝DC能量被直接導引至接地。絕緣間隙可填充有空氣或某些其他合適的介電材料,如陶瓷、塑膠等。
在一些實施例中,接地環可設置在饋送結構的主體和下部周圍。接地環耦接至接地屏蔽340,並且可以是接地屏蔽340之整合部分或是耦接至接地屏蔽之分離部分,以提供饋送結構的接地。接地環可由合適的導電材料製成,例如鋁或銅。在一些實施例中,設置在接地環的內徑與饋送結構主體的外徑之間的間隙可保持最小,並且剛好足以提供電氣隔離。間隙可填充有隔離材料,例如塑膠或陶瓷,或可為氣隙(air gap)。接地環防止RF饋送點與主體之間的串擾(cross talk),藉此改良電漿和處理均勻性。
可將隔離器板(isolator plate) 338設置在來源分佈板322與接地屏蔽340之間,以避免RF和脈衝DC能量被直接導引至接地。隔離器板338具有中央開口,以容許饋送結構穿過隔離器板338並耦接至該來源分佈板322。隔離器板338可包含合適的介電材料,如陶瓷、塑膠等。或者,可提供氣隙來代替隔離器板338。在提供氣隙來代替隔離器板的實施例中,接地屏蔽340可在結構上足夠穩固以支撐擱置在接地屏蔽340上的任何部件。
可透過介電隔離器344在接地的導電鋁配接器(如342)上支撐靶材306。靶材306包含待在濺射期間沉積在基板304上的材料,例如鈷或含鈷材料。在一些實施例中,背板346可耦接至靶材306的面向來源分佈板表面332。背板346可包含導電材料,例如鈷或與靶材相同的材料,以使RF和脈衝DC功率可經由背板346耦接至靶材306。或者,背板346可以不導電,並且可包含導電元件(未圖示),例如電氣饋通道等,以將靶材306的面向來源分佈板表面332耦接至導電元件325的第二端330。可包括背板346,以例如,增進靶材306的結構穩定性。
基板支撐台座302具有材料容納表面,該材料容納表面面向靶材306的主表面並支撐待濺射塗佈之基板304,使基板304處在與靶材306的主表面相對之平面位置。基板支撐台座302可將基板304支撐在製程腔室300的中央區域348內。中央區域348界定為處理期間位於基板支撐台座302上方的區域(例如,當處於處理位置時介於靶材306與基板支撐台座302之間)。
在一些實施例中,基板支撐台座302可為能夠垂直移動的,透過連接至底部腔室壁352的伸縮囊350,以容許基板304經由位於處理製程腔室300下部之負載鎖定室(未示出)移送至基板支撐台座302上,並在之後上升至沉積或處理位置。可經由質流控制器356將來自氣源354之一或多種處理氣體供應至製程腔室300下部內。可提供排氣口358並經由閥門360連接至幫浦(未示出),以便製程腔室300的內部空間之排氣,並有利於在製程腔室300內部維持期望壓力。
在實施例中,可將RF偏壓功率源362耦接至基板支撐台座302,以在基板304引起負DC偏壓。此外,在一些實施例中,負DC自偏壓(negative DC self-bias) 可在處理期間形成於基板304上。舉例而言,RF偏壓功率源362供應的RF功率之頻率範圍可從約2 MHz至約60 MHz,例如,可使用非限制性頻率,例如2 MHz、13.56 MHz或60 MHz。進而,第二RF偏壓功率源可耦接至基板支撐台座302並提供任何上述頻率以與RF偏壓功率源362並用。在其他應用中,基板支撐台座302可接地或維持電氣浮接(electrically floating)。舉例而言,在不需要RF偏壓功率的應用中,電容調諧器364可耦接至基板支撐台座,以調整基板304上的電壓。
在一些實施例中,PVD製程腔室包括如第3圖所示之一或多個線圈399。在實施例中,一或多個線圈399包含純鈷或實質上純鈷。在實施例中,PVD製程腔室經配置以將RF供應至一或多個線圈399。在實施例中,可將足以在基板頂上並在基板的特徵內提供鈷層之量的RF施加至一或多個線圈399。在實施例中,PVD腔室具有雙重材料源(一靶材及一線圈) (第一及第二源),所述雙重材料源經配置以如本文所述將鈷提供至基板。在一些實施例中,線圈399是感應線圈,其可以定位成比靶材更靠近基板支撐件。線圈399可以由成分與靶類似或相等的材料形成,例如鈷,以用作次要濺射靶材。在實施例中,電源向線圈施加電流以在製程腔室內引發RF場,並將功率耦合至電漿以增加電漿密度,即反應性離子的濃度。
在實施例中,RF功率源398可以耦合到線圈399,以便在線圈399上引發負DC偏壓。此外,在一些實施例中,在處理期間可於線圈399上形成負DC自偏壓(self-bias)。舉例而言,由RF功率源398供應之RF功率可在自約2MHz至約60 MHz之頻率範圍內,例如,可使用如2 MHz、13.56 MHz或60 MHz之非限制性頻率。
在一些實施例中,可鄰近靶材306的背表面(例如,面向來源分佈板表面332)設置可旋轉磁控管組件336。可旋轉磁控管組件336包括由底板368支撐的複數個磁鐵366。底板368連接至旋轉軸桿370,旋轉軸桿370與製程腔室300及基板304的中央軸重合。馬達372可耦接至旋轉軸桿370的上端,以驅動磁控管組件336的旋轉。磁鐵366在製程腔室300內提供磁場,通常是平行且靠近靶材306的表面,以捕獲電子並增加局部電漿密度,轉而增加濺射率。磁鐵366在製程腔室300的頂部周圍產生電磁場,並旋轉磁鐵366來旋轉電磁場,所述電磁場影響製程的電漿密度以更均勻地濺射靶材306。舉例而言,旋轉軸桿370每分鐘可旋轉約0至約150次。
在一些實施例中,製程腔室300可進一步包括製程套組屏蔽374,製程套組屏蔽374連接至配接器342的突出部376。配接器342轉而被密封並接地至鋁腔室側壁,如腔室壁308。大體而言,製程套組屏蔽374沿著配接器342的壁及腔室壁308往下延伸至低於基板支撐台座302的上表面,並往上回升至觸及基板支撐台座302的上表面為止(例如,在底部形成U形部分384)。或者,製程套組屏蔽的底部分可具有任何合適的形狀。當基板支撐台座302位於較低的裝載位置時,蓋環386靠置在製程套組屏蔽374之往上延伸的唇部388頂端,但當基板支撐台座302位於較高的沉積位置時,該蓋環386靠置在基板支撐台座302的外緣上,以保護基板支撐台座302不受濺射沉積。可使用額外的沉積環(未示出)來屏蔽基板304的周邊不受到沉積。根據本揭示內容在下文論述製程套組屏蔽的實施例。
在一些實施例中,可在製程腔室300周圍設置磁鐵390,以選擇性地在基板支撐台座302與靶材306間提供磁場。舉例而言,如第3圖所示,當位於處理位置時,可將磁鐵390設置在腔室壁308外部周圍恰好位於基板支撐台座302上方的區域內。在一些實施例中,可額外或替代地將磁鐵390設置在其他位置,如毗鄰配接器342。磁鐵390可以是電磁鐵,並且可耦接至功率源(未示出)以控制該電磁鐵所產生的磁場強度。
可提供控制器310並將控制器310耦接至製程腔室300的各個部件以控制製程腔室300的操作。控制器310包括中央處理單元(CPU) 312、記憶體314以及支援電路316。控制器310可直接控制製程腔室300,或經由與特定製程腔室及/或支援系統部件相關聯的電腦(或控制器)來控制。控制器310可以是經配置而用在工業設定上以控制各個腔室及子處理器的任何形式的通用電腦處理器之一種。記憶體314或控制器310的電腦可讀取媒體可以是能輕易取得的一或多種記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、光學儲存媒體(例如,光碟或數位視訊光碟)、隨身碟或任何其他形式的數位儲存器(本端或遠端的)。支援電路316耦接至CPU 312,以利用習知方式支援處理器。支援電路316包括快取記憶體、電源、時脈電路、輸入/輸出電路系統及子系統等。本文所述的發明方法可儲存在記憶體314中做為軟體常式,所述軟體常式可經執行或引動(invoke)以利用本文所述方式控制製程腔室300的操作。亦可由位在受CPU 312控制之硬體的遠端之第二CPU (未示出)儲存及/或執行所述軟體常式。
在一些實施例中,本揭示內容與經配置用以在基板上形成鈷材料之物理氣相沉積腔室有關。舉例而言,在實施例中,物理氣相沉積腔室經配置以(a)透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分。
在一些實施例中,本揭示內容與經配置用以在基板上形成互連結構之物理氣相沉積腔室有關。舉例而言,在實施例中,物理氣相沉積腔室經配置以(a)透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分。
請回頭參見第1圖,方法100,在一些實施例中,本揭示內容與用銅填充特徵之方法有關,包括以下步驟:(a) 透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。在一些實施例中,第一鈷部分比第二鈷部分更厚。在一些實施例中,第一鈷部分的厚度為30至60埃,且第二部分的厚度為15至30埃。在一些實施例中,特徵為溝槽或高深寬比介層孔。在一些實施例中,特徵被銅部份地填充。在一些實施例中,使銅流動還包括將銅加熱到至少攝氏350度的溫度。在一些實施例中,視情況將阻障層設置於第一鈷層與基板之間。在一些實施例中,重複步驟(b)及(c),以將銅沉積達足以從該底部表面至一頂角(top corner)填充該特徵之量。
現請參見第4圖,揭示內容的實施例包括在基板上填充銅特徵的方法400。在製程序410處,所述方法包括(a)從物理氣相沉積腔室內的鈷靶材濺射鈷,以在基板區域之上及在設置於基板中的特徵的側壁和底表面之上形成第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分。在製程序420處,所述方法包括(b)於基板區域之上的第一鈷部分之上沉積銅。在製程序430處,所述方法可包括(c)使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入特徵,其中相較於第二鈷部分(例如設置在特徵內的第二鈷部分)上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。在一些實施例中,從鈷靶材濺射鈷還包括使反應性氣體流入具有鈷靶材之物理氣相沉積處理腔室中;對鈷靶材施加電偏壓以從鈷靶材濺射出原子;以及在基板上沉積包括所濺射之原子的第一鈷層。在一些實施例中,物理氣相沉積腔室處於約16°C至25°C之溫度。在一些實施例中,物理氣相沉積製程腔室處於約0.1至150毫托之壓力。在一些實施例中,將約1至約500 KW的量之DC功率施加至鈷靶材。
現請參見第5圖,本揭示內容之實施例包括用於以銅填充特徵之方法500。在製程序510處,所述方法包括(a)透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上或特徵內形成第二鈷部分。在製程序520處,所述方法包括:於基板區域之上的第一鈷部分之上沉積銅。在製程序530處,所述方法包括:使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入特徵,其中所述特徵被無孔隙銅(void-free copper)填充。在一些實施例中,無孔隙銅從底部表面至開口填充所述特徵。在一些實施例中,所述特徵為高深寬比介層孔。在一些實施例中,沉積第一鈷層包括:從設置於物理氣相沉積腔室中之鈷靶材濺射鈷。在一些實施例中,第一鈷部分比第二鈷部分更厚,且其中第一鈷部分比第二鈷部分更能附著銅。在一些實施例中,方法400更包括:在沉積第一鈷層之前將阻障層沉積於特徵內,並將第一鈷層直接沉積在阻障層之上。在一些實施例中,阻障層包含氮化鈦或氮化鉭。
在實施例中,本揭示內容包括非暫態(non-transitory)電腦可讀取媒體,具有儲存於其上之指令,當指令被執行時,導致填充特徵之方法,該方法包括:(a) 透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。
在一些實施例中,可在本揭示內容之製程的製程序期間之不同時間處藉由化學氣相沉積來施加鈷層或鈷材料。舉例而言,在一些實施例中,以銅填充特徵之方法包括:透過化學氣相沉積(CVD)製程在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層。在CVD製程序之後,本揭示內容之方法可包括透過物理氣相沉積(PVD)製程在設置於基板區域上面的第一CVD鈷層之上且在設置於基板中之特徵的側壁和底表面之上沉積第二PVD鈷層,以形成基板區域之上的PVD鈷部分及側壁之上的PVD鈷部分。下一步,製程可包括在基板區域之上的PVD鈷部分之上沉積銅;以及使設置在基板區域之上的PVD鈷部分之上的銅流過第二PVD鈷部分並進入特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一PVD鈷部分降低銅的遷移率。在一些實施例中,在第1圖所示的製程序110之前沉積CVD鈷。在一些實施例中,在第1圖所示的製程序110之後沉積CVD鈷。在一些實施例中,將基板或工件移入適於沉積CVD鈷之製程腔室。在一些實施例中,可使用諸如可購自加州聖大克勞拉市的應用材料公司之Endura® Volta™ CVD鈷等系統來執行本揭示內容之鈷沉積製程。
在一些實施例中,藉由循環CVD製程沉積主體鈷材料。在實施例中,循環CVD製程包括重複鈷CVD沉積直到達成主體鈷材料之預定厚度為止。如果尚未達到主體鈷材料的預定厚度,則執行額外的鈷CVD沉積循環。舉例而言,若主體鈷材料的總厚度為300埃且以每循環50埃沉積主體鈷材料的各部分,則將需要6個(50埃沉積)循環。在一些實施例中,可在2013年9月3日核准並讓與應用材料公司之名稱為「Post Deposition Treatments for CVD Cobalt Films」之美國專利第8,524,600號中描述之條件下進行CVD鈷沉積。
在實施例中,本公開包括一種在其上存儲有指令的非暫態電腦可讀媒體,當執行該指令時,該非暫態電腦可讀媒體引起填充特徵的方法,該方法包括:(a) 從物理氣相沉積室內的鈷靶濺射鈷以形成第一鈷層,第一鈷層在基板區域的頂部,以及在設置在基板中的特徵的側壁和底表面的頂部,以在基板區域的頂部形成第一鈷部分,在側壁的頂部形成第二鈷部分;(b) 在基板區域的頂部的第一鈷部分的頂部沉積銅;(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中相較於第二鈷部分上方之銅的遷移率,基板區域之上的第一鈷部分降低銅的遷移率。
在實施例中,本揭示內容包括非暫態(non-transitory)電腦可讀取媒體,具有儲存於其上之指令,當指令被執行時,導致以銅填充特徵之方法,該方法包括:(a) 透過物理氣相沉積(PVD)製程,在基板區域之上且在設置於基板中之特徵的側壁及底部表面之上沉積第一鈷層,以於基板區域之上形成第一鈷部分且於側壁之上形成第二鈷部分;(b) 在基板區域之上的第一鈷部分之上沉積銅;以及(c) 使設置在基板區域之上的第一鈷部分之上的銅流過第二鈷部分並進入所述特徵,其中以無孔隙銅填充特徵。
雖然前述內容係針對本揭示內容之實施例,但可在不脫離本揭示內容基本範疇下設計出本揭示內容的其他及進一步實施例。
100:方法 110,120,130:製程序 200:基板 202:開口 203:特徵 204:第一表面 206:第二表面 208:底部表面 210:側壁 212:第一介電層 214:第二介電層 216:阻障層 220:導電材料 224:第一鈷層 225:表面 226:上表面 227:沉積銅 228:第二基板 230:第二導電材料 262:區域 263,264,267,268:箭頭 275:拐角附近的銅變薄 298,299:虛線 300:製程腔室 302:基板支撐台座 304:基板 306:靶材 308:腔室壁 310:控制器 312:CPU 314:記憶體 315:中央開口 316:支援電路 318:RF功率源 320:DC功率源 322:來源分佈板 324:孔 325:傳導元件 326:第一端 328:面向靶材表面 330:第二端 332:面向來源分佈板表面 334:空腔 336:磁控管組件 338:隔離器板 339:絕緣間隙 340:接地屏蔽 342:配接器 344:隔離器 346:背板 348:中央區域 350:伸縮囊 352:底部腔室壁 354:氣源 356:質流控制器 358:排氣口 360:閥門 362:RF偏壓功率源 364:電容調諧器 366:磁鐵 368:底板 370:旋轉軸桿 372:馬達 374:製程套組屏蔽 376:突出部 384:U形部分 386:蓋環 388:唇部 390:磁鐵 399:線圈 400:方法 410,420,430:製程序 500:方法 510,520,530:製程序
藉由參照在附圖中描繪之本揭示內容的說明性實施例,可以理解上面簡要概述並在下文進行更詳細的討論之本揭示內容的實施例。然而,附圖僅示出了本揭示內容的典型實施例,因此不應視為對範圍的限制,因為本揭示內容可以允許其他等效實施例。
第1圖為繪示根據本揭示內容之某些實施例的以銅填充特徵之方法的流程圖。
第2A至2E圖描繪根據本揭示內容之某些實施例的填充基板中之特徵的階段。
第3圖描繪根據本揭示內容之某些實施例的製程腔室之示意剖面側視圖。
第4圖為繪示根據本揭示內容之某些實施例的以銅填充特徵之方法的流程圖。
第5圖為繪示根據本揭示內容之某些實施例的以銅填充特徵之方法的流程圖。
為了便於理解,儘可能使用相同的元件符號來表示圖中共有的相同元件。附圖未按比例繪製,並且為清楚起見可以簡化。一個實施例的元件和特徵可以有益地併入其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
110,120,130:製程序

Claims (18)

  1. 一種以銅填充一特徵之方法,包含以下步驟:(a)透過一物理氣相沉積(PVD)製程,在一基板區域(substrate field)之上且在設置於一基板中之一特徵的一側壁及一底部表面之上沉積一第一鈷層,以於該基板區域之上形成一第一鈷部分且於該側壁之上形成一第二鈷部分,其中該第一鈷部分之厚度為30至60埃,且該第二鈷部分之厚度為15至30埃,且該第一鈷部分比該第二鈷部分更厚;(b)在該基板區域之上的該第一鈷部分之上沉積銅;以及(c)使設置在該基板區域之上的該第一鈷部分之上的銅流過該第二鈷部分並進入該特徵,其中相較於該第二鈷部分上方之銅的遷移率,該基板區域之上的該第一鈷部分降低銅的遷移率。
  2. 如請求項1所述之以銅填充特徵之方法,其中該特徵係一溝槽或介層孔。
  3. 如請求項1所述之以銅填充特徵之方法,其中以銅部份地填充該特徵。
  4. 如請求項1所述之以銅填充特徵之方法,其中使銅流動之步驟進一步包含以下步驟:將銅加熱到至少攝氏350度之溫度。
  5. 如請求項1所述之以銅填充特徵之方法,其 中將一阻障層設置於該第一鈷層與該基板之間。
  6. 如請求項1所述之以銅填充特徵之方法,其中重複步驟(b)及(c),以將銅沉積達足以從該底部表面至一頂角(top corner)填充該特徵之量。
  7. 一種填充一基板上的一銅特徵之方法,包含以下步驟:(a)於一物理氣相沉積腔室內,從一鈷靶材濺射鈷,以在一基板區域(substrate field)之上且在設置於一基板中之一特徵的一側壁及一底部表面之上形成一第一鈷層,以於該基板區域之上形成一第一鈷部分且於該側壁之上形成一第二鈷部分,其中該第一鈷部分之厚度為30至60埃,且該第二鈷部分之厚度為15至30埃,且該第一鈷部分比該第二鈷部分更厚;(b)在該基板區域之上的該第一鈷部分之上沉積銅;以及(c)使設置在該基板區域之上的該第一鈷部分之上的銅流過該第二鈷部分並進入該特徵,其中相較於該第二鈷部分上方之銅的遷移率,該基板區域之上的該第一鈷部分降低銅的遷移率。
  8. 如請求項7所述之方法,其中從一鈷靶材濺射鈷之步驟進一步包含以下步驟:使反應性氣體流入具有一鈷靶材之一物理氣相沉積製程腔室;對該鈷靶材施加一電偏壓,以從該鈷靶材濺射原子; 以及於該基板上沉積該第一鈷層,該該第一鈷層包含被濺射之該等原子。
  9. 如請求項7或8所述之方法,其中該物理氣相沉積腔室處於約16℃至25℃之溫度下。
  10. 如請求項7或8所述之方法,其中該物理氣相沉積腔室處於約0.1至150毫托之壓力下。
  11. 如請求項7或8所述之方法,其中將約1至約500KW的量之DC功率施加至該鈷靶材。
  12. 一種非暫態(non-transitory)電腦可讀取媒體,具有儲存於其上之指令,當該等指令被執行時,導致進行用於填充一特徵之方法,該方法包含以下步驟:(a)透過一物理氣相沉積(PVD)製程,在一基板區域(substrate field)之上且在設置於一基板中之一特徵的一側壁及一底部表面之上沉積一第一鈷層,以於該基板區域之上形成一第一鈷部分且於該側壁之上形成一第二鈷部分,其中該第一鈷部分之厚度為30至60埃,且該第二鈷部分之厚度為15至30埃,且該第一鈷部分比該第二鈷部分更厚;(b)在該基板區域之上的該第一鈷部分之上沉積銅;以及(c)使設置在該基板區域之上的該第一鈷部分之上的銅流過該第二鈷部分並進入該特徵,其中相較於該第 二鈷部分上方之銅的遷移率,該基板區域之上的該第一鈷部分降低銅的遷移率。
  13. 如請求項12所述之非暫態電腦可讀取媒體,其中以無孔隙銅(void-free copper)從該底部表面至一開口填充該特徵。
  14. 如請求項12或13所述之非暫態電腦可讀取媒體,其中該特徵係一介層孔。
  15. 如請求項12或13所述之非暫態電腦可讀取媒體,其中沉積一第一鈷層之步驟包含以下步驟:從設置於一物理氣相沉積腔室中之一鈷靶材濺射鈷。
  16. 如請求項12或13所述之非暫態電腦可讀取媒體,其中該第一鈷部分比該第二鈷部分更能附著銅。
  17. 如請求項12或13所述之非暫態電腦可讀取媒體,其中該等指令進一步包含指令,當該指令被執行時,導致於沉積該第一鈷層之前,在該特徵內沉積一阻障層,並將該第一鈷層直接沉積在該阻障層之上。
  18. 如請求項17所述之非暫態電腦可讀取媒體,其中該阻障層包含氮化鈦或氮化鉭。
TW109114708A 2019-05-03 2020-05-01 用於填充設置在基板中的特徵的方法與設備 TWI828904B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962843290P 2019-05-03 2019-05-03
US62/843,290 2019-05-03
US16/752,630 US11289329B2 (en) 2019-05-03 2020-01-25 Methods and apparatus for filling a feature disposed in a substrate
US16/752,630 2020-01-25

Publications (2)

Publication Number Publication Date
TW202104640A TW202104640A (zh) 2021-02-01
TWI828904B true TWI828904B (zh) 2024-01-11

Family

ID=73016702

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109114708A TWI828904B (zh) 2019-05-03 2020-05-01 用於填充設置在基板中的特徵的方法與設備

Country Status (4)

Country Link
US (1) US11289329B2 (zh)
KR (1) KR102670444B1 (zh)
TW (1) TWI828904B (zh)
WO (1) WO2020226938A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230003836A (ko) * 2021-06-30 2023-01-06 한국알박(주) Pvd 방법을 통한 저저항 재료의 비저항 및 결정성 제어 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201827636A (zh) * 2016-10-02 2018-08-01 美商應用材料股份有限公司 以釕襯墊改善銅電遷移的經摻雜選擇性金屬蓋
CN109671666A (zh) * 2017-10-14 2019-04-23 应用材料公司 用于beol互连的ald铜与高温pvd铜沉积的集成

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
JP3436132B2 (ja) 1998-05-13 2003-08-11 セイコーエプソン株式会社 半導体装置
US6184132B1 (en) * 1999-08-03 2001-02-06 International Business Machines Corporation Integrated cobalt silicide process for semiconductor devices
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8281061B2 (en) 2008-03-31 2012-10-02 Micron Technology, Inc. Data conditioning to improve flash memory reliability
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US8841211B2 (en) 2010-06-09 2014-09-23 Applied Materials, Inc. Methods for forming interconnect structures
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
KR20220031134A (ko) * 2014-06-16 2022-03-11 인텔 코포레이션 금속 인터커넥트의 시임 치유
US10269698B1 (en) * 2017-12-20 2019-04-23 International Business Machines Corporation Binary metallization structure for nanoscale dual damascene interconnects
US10818589B2 (en) * 2019-03-13 2020-10-27 International Business Machines Corporation Metal interconnect structures with self-forming sidewall barrier layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201827636A (zh) * 2016-10-02 2018-08-01 美商應用材料股份有限公司 以釕襯墊改善銅電遷移的經摻雜選擇性金屬蓋
CN109671666A (zh) * 2017-10-14 2019-04-23 应用材料公司 用于beol互连的ald铜与高温pvd铜沉积的集成

Also Published As

Publication number Publication date
US11289329B2 (en) 2022-03-29
TW202104640A (zh) 2021-02-01
KR20210148442A (ko) 2021-12-07
KR102670444B1 (ko) 2024-05-30
US20200350159A1 (en) 2020-11-05
WO2020226938A1 (en) 2020-11-12

Similar Documents

Publication Publication Date Title
JP5889894B2 (ja) 高アスペクト比の特徴要素に金属を堆積する方法
US8993434B2 (en) Methods for forming layers on a substrate
JP5392215B2 (ja) 成膜方法及び成膜装置
WO2007091682A1 (ja) 成膜方法、プラズマ成膜装置及び記憶媒体
KR101600995B1 (ko) 상호연결 구조물들을 형성하기 위한 방법들
US11965236B2 (en) Method of forming nickel silicide materials
TWI710654B (zh) Cu配線之製造方法
JP5788785B2 (ja) Cu配線の形成方法および成膜システム
TWI828904B (zh) 用於填充設置在基板中的特徵的方法與設備
US11674216B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD) with controlled cooling
US11670485B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
TW201301443A (zh) 成膜方法及銅配線之形成方法
KR101357531B1 (ko) Cu 배선의 형성 방법 및 Cu막의 성막 방법, 성막 시스템, 및 기억 매체
JP2008098378A (ja) 薄膜形成方法及び薄膜の積層構造
TW202129029A (zh) 用於處理基板之方法及設備