JPH09162293A - 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 - Google Patents

半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置

Info

Publication number
JPH09162293A
JPH09162293A JP8208636A JP20863696A JPH09162293A JP H09162293 A JPH09162293 A JP H09162293A JP 8208636 A JP8208636 A JP 8208636A JP 20863696 A JP20863696 A JP 20863696A JP H09162293 A JPH09162293 A JP H09162293A
Authority
JP
Japan
Prior art keywords
layer
substrate
deposition
gas
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8208636A
Other languages
English (en)
Other versions
JP3193875B2 (ja
Inventor
Zheng Xu
スー ゼン
John Forster
フォースター ジョン
Tse-Yong Yao
ヤウ ツェ−ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09162293A publication Critical patent/JPH09162293A/ja
Application granted granted Critical
Publication of JP3193875B2 publication Critical patent/JP3193875B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 1以上のアスペクト比を有する多層集積回路
のビア、スルーホール、又はトレンチに(アルミニウム
合金及び類似融点を有する他の伝導性材料を含む)アル
ミニウムの電気コンタクトを造り込むことを目的とす
る。 【解決手段】キャリア層は、好ましくは、スパッタ堆積
材料束をイオン化し、その束(フラックス)を部分的に
ガスと反応させ、得られる材料を基板上に堆積すること
により形成される層から成る。少なくとも5:1程度の大
きさのアスペクト比を有するビア、スルーホール、及び
トレンチに前述のコンタクトを形成でき、さらに約12:1
までのアスペクト比を有するアパーチャの充填も可能と
なる。高アスペクト比の伝導性コンタクトの形成を可能
にするばかりではなく、キャリア層は障壁層としても機
能し、それによって電気コンタクトと協同して作用する
周囲基板材料中へのアルミニウムのマイグレーションが
防止される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスの
薄膜層に形成されるコンタクト、ビア(via)及びその他
のパス(pass)に関し、さらに薄膜層を通してパスを造
るために、半導体又は他方式の基板上の1つ以上の薄膜
層を貫通して伸びるビア、穴又はトレンチのようなアパ
ーチャ(aperture、開口)のライニング(lining)又は充
填に関する。
【0002】本発明により、高アスペクト比のアパーチ
ャ(即ち、1000オングストローム程度の小ささの幅を有
し且つ幅に対する高さの比が約1:0から約12:0であるよ
うなアパーチャ)の充填と従来技術の方法に比べて低い
温度における堆積薄膜層の平坦化が可能となり、且つ、
慣習的な従来技術の方法では、妥当な時間内でボイド
(void、空隙)の無い、アパーチャの充填と得られる薄
膜層の平坦化とを実行し得ないような、いくつかの場合
において、それらを可能とするものである。1つの副具
体例では、本発明は、キャリア層と、キャリア層の構造
と組成と、キャリア層を形成する方法と、そしてキャリ
ア層を形成する方法を実施するのに用いる装置とに関
し、それらの全てによって、集積回路デバイスの製造中
における高アスペクト比の電気コンタクトの充填と堆積
薄膜層の平坦化が可能となる。
【0003】
【従来の技術】基板又はウェハ上における集積回路デバ
イスの製造中、薄膜層の、典型的には誘電性薄膜層の、
穴、トレンチ又はビア(即ち、アパーチャ)を充填し
て、パスを、典型的には伝導性のパスを、薄膜層を貫通
して作ることが必要となる。伝統的には、アパーチャの
充填は、予め堆積した薄膜層、典型的にはそれを通るア
パーチャを有する誘電性薄膜層、の上に充填材料の層を
堆積することによって実施されてきた。例えば、コンタ
クトは、アルミニウムのような導体を誘電性層のアパー
チャの中へ堆積させることにより誘電性層を通して作ら
れる。アパーチャを充填するのに用いる材料がその上に
堆積される材料と反応することがある場合、例えば、充
填材料がアパーチャの底部にある材料と相互拡散して望
ましくない品質の材料を生ずることがあるような場合、
充填材料が堆積される前に障壁層をアパーチャに堆積さ
せてアパーチャをライニングしなければならない。障壁
層を堆積した後、伝導性材料をその上に堆積してコンタ
クトを形成する。その後のウェハ処理を可能にするた
め、アパーチャを充填するのに用いる伝導性材料を平坦
化して、アパーチャを充填した表面上における比較的均
一な厚い充填材料層の堆積の結果生ずる、薄膜層の露出
部分の高さの顕著な変化を何れも低減しなければならな
い。
【0004】物理的気相堆積、即ち、"PVD (physica
l vapor deposition)"は、半導体デバイス製造において
有用なアパーチャ充填の既知法の1つである。PVDで
は、堆積材料から成るターゲットは、プラズマにさらさ
れてプラズマからのイオンによってスパッタされる。タ
ーゲットからスパッタされた材料は基板上に堆積する。
その堆積材料は基板上に薄膜層を形成し、且つアパーチ
ャを充填するのにも用いられる。平坦化された堆積薄膜
層を与えるため、そしてアパーチャの充填を確実にする
ため、薄膜層は伝導性材料を"リフロー"させるために高
温で堆積させることができ、これによってアパーチャを
充填し、"平坦化した"層、即ち、比較的平坦な上表面を
もつ層を作り出すのである。典型的には、リフローステ
ップは、480℃以上のオーダーの基板温度で実施する。
【0005】在来のスパッタリング法を使ってアパーチ
ャの充填は、アパーチャのアスペクト比が大きくなるに
つれ困難になってきた。穴の幅が穴の深さと等しい場
合、即ち1:1のアスペクト比では、在来のスパッタ堆積
技術によって穴の側面及び底部上にターゲット材料の共
形堆積が確保でき且つ完全なアパーチャの充填が可能と
なり得る。しかしながら、1:1のアスペクト比を有する
アパーチャに対しても、より低いリフロー温度でのアパ
ーチャ充填を実施する必要性は存在する。より高いアス
ペクト比では、数値的には、約2:1以上のアスペクト比
では、アパーチャ充填に在来のスパッタリング技術を使
うのは問題が多い。その理由は、実質的に、アパーチャ
とスパッタの形状の結果である。ターゲットからスパッ
タされたターゲット粒子は直線経路を進む故、アパーチ
ャの底部と側壁の下方部分は、基板の表面に沿って横方
向へ進むようなスパッタ粒子からさえぎられる。高アス
ペクト比のアパーチャでは、スパッタ粒子で形成される
堆積物は、アパーチャ開口部では極めて厚く、アパーチ
ャ底部では極めて薄くなる傾向がある。スパッタされた
粒子の堆積が継続されるにつれ、アパーチャ開口部に堆
積する材料は、継続した堆積の間に連続して組み立てら
れる。この堆積物は、ターゲット材料をアパーチャ壁の
底部からますます遮断することになるであろう。最後に
は、アパーチャ開口部で形成される層がアパーチャを完
全に覆うことになり、アパーチャ中への以後の堆積材料
の堆積が妨げられる。それ故、スパッタ堆積によって高
アスペクト比のアパーチャを充填するには、代わりとな
る方法を用いなければならない。
【0006】高アスペクト比のアパーチャを充填するの
に用いてよいスパッタリングの1つの代替アプローチ
は、コヒーレント(干渉性)堆積であり、この場合、タ
ーゲット材料の平行にされた(collimated)供給が、低温
で、典型的には150℃以下で、基板の表面上に堆積され
て基板上に材料の"種(seed)"層を形成する。種層を形
成後、その基板を第二の、非コヒーレントスパッタリン
グ室へ移し、基板を約480℃又はそれ以上の温度に維持
しながら、基板上へ堆積されるスパッタ材料でアパーチ
ャを充填する。この高温で、材料がリフローできるよう
に基板上に堆積されることになり、これにより、1)アパ
ーチャを充填し、2)平坦化薄膜層を生成する。リフロー
技術によるアパーチャ充填と堆積薄膜層の平坦化に要す
る時間は、基板温度の関数である。基板温度が高ければ
高いほど、アパーチャはより速く充填され且つ薄膜層は
より速く平坦化される。しかし、基板が熱すぎると、種
層が癒合して個々の小滴になって共形の薄膜層の形成を
妨害するか、又は、先に堆積した材料が寸法変化する
か、又は、熱的に劣化することになるであろう。深さが
1.2μでアスペクト比がほぼ1:1のアパーチャを充填する
のにリフロー技術が用いられ、且つアパーチャ充填材料
としてアルミニウムが堆積される場合、約480℃の基板
温度は、約3又は4分でアパーチャを充填して堆積層を平
坦化することができる。0.5ミクロン又はそれ以下の幅
のアパーチャでは、即ち、約2:1又はそれより高いアス
ペクト比を有する標準の1.2μの深さのアパーチャで
は、リフロー法はその有効性が限定される。特に、コヒ
ーレント堆積を用いてさえ、より高いアスペクト比の穴
は時間がかかり過ぎて、許容できるリフロー温度では充
填できない可能性がある。加えて、コリメーターが堆積
材料の実質的な量を遮り、よってこれは収量とスループ
ットを減少させることになる。
【0007】高アスペクト比のアパーチャを充填するも
う1つの既知法は、ターゲットからスパッタされる堆積
材料の少なくとも一部をイオン化してそのイオン化した
ターゲット材料を基板へ引き付けるものである。堆積材
料をイオン化し且つそれを基板の方へ電気的に引き付け
ることにより、基板に到達する堆積材料は基板に垂直に
進むことになろう。従って、堆積材料はアパーチャの底
部に達し、アパーチャの壁の上部到達部に集まることは
ないであろう。
【0008】例えば、1993年1月12日に特許されたBarne
s等の米国特許第5,178,739号は、スパッタターゲット後
部と基板との間に配置された中空の円筒状スパッタター
ゲットを含み、それら全てを真空チャンバに収容したス
パッタ堆積システムを開示している。複数の磁石は、円
筒ターゲットの内部表面近くに強力なプラズマ領域を作
り出し、それによってターゲットからスパッタされる堆
積材料の少なくとも一部をイオン化させるために該チャ
ンバー外部に配置される。プラズマを維持させるため
に、RF電力がターゲットと基板との間に配置した内部rf
コイルを介して該チャンバ外部に誘導的に結合される。
イオン化したスパッタ材料の方向とエネルギーを制御す
るために基板は電気的にバイアスをかけられる。スパッ
タ堆積システムを使って作られたイオンは、プラズマ外
装(sheath)を横切った後は低い拡散を有すると云われて
おり、従って穴のような高アスペクト比のアパーチャを
均一に充填できると云われている。(高アスペクト比の
穴は、典型的には、1:1以上の高さ対幅比を有する穴
(ビア)であると記述されている)。
【0009】イオン化堆積は、高アスペクト比の穴を充
填する既知方法であるが、その技術は、在来法に比べて
堆積速度が遅く、電力条件が大きく、且つその装置がよ
り高価であるために、在来のスパッタリング技術より著
しく高価になる。これらの諸制約条件にもかかわらず、
当業者に信じられていることは、極めて高いアスペクト
比の穴、即ちほぼ2:1以上の穴の充填は、イオン化堆積
材料を使うことによってのみ達成でき、且つ在来のスパ
ッタ堆積とリフローがその後に実施されるコヒーレント
堆積法のような、他の技法は、ライン幅が減少し続ける
につれてデバイス製造者の要求を満足し得ないというこ
とである。
【0010】
【発明が解決しようとする課題】本発明の目的は、伝導
性材料、典型的には金属又は金属合金で、最終的堆積物
にボイドを形成させずに狭い高アスペクト比のアパーチ
ャを充填して適当な集積回路の電気コンタクトを形成で
きるようにする手段を提供することにある。
【0011】金属又は金属合金の堆積は、好ましくは、
480℃を下回る基板温度で実行され、結果的にその処理
のための熱費が低くなる。さらにより好ましくは、金属
又は金属合金のスパッタリングは、約350℃を多少下回
る基板温度で実行し、基板温度を生ずるのに用いられる
加熱素子の初期及び維持コスト並びにプロセスの熱費を
軽減する。これらの比較的低い温度はまた、IC製造にお
いて、より低い熱分解又はクリープ温度を有するが回路
設計者にとって有用な材料の使用を可能にするものであ
る。何故なら、金属又は金属合金の堆積工程以前に基板
上に堆積された堆積材料は、アパーチャを充填し且つ薄
膜層を平坦化するのに本願発明の諸方法が用いられる時
に従来技術のそれらよりも低い処理温度を経験すること
になるからである。
【0012】
【発明を解決するための手段】本願発明は、集積回路デ
バイスの製造に有用な方法、装置及び構造を提供するも
のである。1つの様相では、本発明は、薄膜層を貫通し
て延びるアパーチャ中又は基板そのものの中にコンタク
トを提供する。他の様相では、本発明は、アパーチャの
充填と平坦化により1000オングストローム程の小さい幅
と約1:1から約12:1間の範囲のアスペクト比とを有する
集積回路の電気コンタクトを形成可能にするコーティン
グ層に関する。さらに別の様相では、そのコーティング
層は、アパーチャの底部又は側面を形成する材料とアパ
ーチャを充填するのに最終的に用いられる材料との間の
相互拡散を防止する障壁層である。詳細には、本発明で
作られる障壁層は、顕著なボイドを生じないで、アルミ
ニウムのような、伝導性材料を使ってのアパーチャの充
填を可能にするものであり、この場合、基板温度はリフ
ロー中は350℃ほどの低温を下回る温度に維持される。
電気コンタクトを充填するための好ましい伝導性材料
は、金属及び金属合金である。最も好ましい金属及び金
属合金には、例示のためであって限定するものではない
が、アルミニウム、及びアルミニウムー銅、アルミニウ
ムー銅ーシリコン、アルミニウムーシリコン、アルミニ
ウムーゲルマニウム、アルミニウムーパラジウムーシリ
コンなどのアルミニウム合金の同一のリフロー温度範
囲、即ち、その範囲内で材料が流れて平坦化薄膜層を生
成する同一の温度範囲を有するその他の伝導性材料を含
む。さらに、限定はしないが、銅及び銅の合金を含む、
より高いリフロー温度を有する他の材料も、本発明の諸
技術を使ってアパーチャを充填するのに用いられ得るう
る。本発明のキャリア層が用いられる場合、充填アパー
チャと平坦化薄膜層を生成するため、伝導性材料を同時
にスパッタし且つリフローするステップは、従来技術に
比べ、比較的低い温度で、即ち、約450℃を下回る基板
温度で、より好ましくは約430℃を下回る温度で、そし
て最も好ましくは約350℃から400℃の範囲の温度で実行
され得る。アルミニウムが穴を充填するのに用いられて
いる伝導性材料である場合、300℃程度の低い基板リフ
ロー温度が考えられる。
【0013】
【作用】1態様では、キャリア層は、スパッタ堆積の方
法で形成されたものと見なしてよく、この場合、ターゲ
ットからスパッタされる材料の少なくとも一部は、それ
が基板に到達する以前にイオン化して第二の材料と反応
する。最も好ましい態様では、スパッタリングプラズマ
を生成するのに用いるガスは、ターゲットとガス原れる
ように、ターゲットからスパッタされる材料と反応す
る。
【0014】さらに別の態様では、キャリア層は、ほぼ
二乗和平方根(rms)が15オングストロームより小さい表
面粗さを有する滑らかな層と見なしてよい。
【0015】さらに別の態様では、キャリア層は、その
上に堆積される材料から成る層のフロー(流れ)をその
上方で可能にすると特徴づけられ得る。
【0016】さらに別の態様では、本発明は、アパーチ
ャにコンタクトを形成する装置を提供し、この場合、ア
パーチャの側壁上にキャリア層を作るために第一チャン
バが設けられ、且つそのキャリア層上への材料の堆積用
として追加チャンバが設けられる。1つの配置構成で
は、第一チャンバはスパッタチャンバであり、これに
は、材料が基板に到達する以前にターゲットを出る材料
の少なくとも一部をイオン化して、キャリア層を形成す
る材料の少なくとも一部がイオン化状態で堆積されるこ
とを保証するための装置が含まれる。
【0017】
【発明の実施の形態】
I.定義 詳細な説明の前置きとして、本明細書並びに前出の特許
請求の範囲に用いられるように、名詞は、別途その前後
関係が明示されない限り、複数対象を含む、ということ
を留意すべきである。従って、例えば、用語"半導体"
は、半導体の動作特性を有することが知られている様々
な各種材料を意味し、"プラズマ"と引用する場合は、rf
グロー放電によって励起されるガスもしくはガス反応物
群が包含され、"コンタクト材質"という表現は、アルミ
ニウム、アルミニウム合金群、及びここに記述した温度
範囲以上でスパッタさせることができる融点を有するそ
の他の伝導性材料を包含する。
【0018】本発明の記述にとって特に重要な特殊専門
用語を以下に定義する。
【0019】用語"アルミニウム"は、半導体工業におい
て代表的に用いられる種類のアルミニウムの合金を含
む。該合金には、例えば、アルミニウムー銅合金、及び
アルミニウムー銅ーシリコン合金がある。
【0020】用語"アスペクト比"(aspect ratio)は、電
気コンタクトがその中に設けられることになる特定の開
口の最大の幅寸法に対する高さ(深さ)寸法の比を指
す。例えば、典型的に多重層を通して円筒形状で延びる
ビア開口は高さと直径を有しており、そのアスペクト比
は、円筒の高さを直径で割ったものになる。トレンチの
アスペクト比は、トレンチの開口部の所のトレンチの幅
でトレンチの高さを割ったものとなる。
【0021】用語"伝統的スパッタリング"は、基板上に
薄膜層を形成する1つの方法であって、この場合、ター
ゲットをスパッタしそしてターゲットから放出される材
料をターゲットと基板間に通過させて基板上に薄膜層を
形成するもので、且つ材料が基板に到達する以前にター
ゲットから放出されるターゲット材料の実質的部分をイ
オン化する手段が何ら設けられない方法を指す。伝統的
スパッタリングを実施できるよう構成された装置の1つ
が米国特許第5,320,728号に開示されており、その開示
を参考として本明細書に引用する。前述の伝統的スパッ
タリング構成では、イオン化されるターゲット材料の割
合は、ターゲットからスパッタされるものの10%未満、
より典型的には1%未満である。
【0022】II.具体例序文 本発明に従い、アパーチャが1:1又はそれ以上のアスペ
クト比を有する場合、且つアパーチャにコンタクト又は
ビアを形成するために在来技術の480℃又はそれ以上の
オーダーの(基板の)リフロー温度を実質的に下回るリ
フロー温度で導体の堆積が実施される場合において、伝
統的な、即ち、非イオン化、非コヒーレント堆積技術を
使って、導体を、特にアルミニウム(及びアルミニウム
合金)を薄膜層又は基板中の(トレンチ、ビア又はスル
ーホールのような)アパーチャ中に堆積させ得ることが
明らかにされた。このことは、導体が堆積される以前に
高アスペクト比のアパーチャの壁の上にキャリア層を形
成することにより可能となる。このキャリア層はアパー
チャを充填するのに用いられる堆積材料のフロー又は移
動を高め、よって、従来技術のスパッタ及びリフロー技
術では妥当な時間で充填できなかったアパーチャに在来
のスパッタ及びリフロー技術を用いてアパーチャの充填
を可能にするものである、ということを出願人は知得し
た。事実、これは、4分の間で390℃の基板リフロー温度
でほぼ5.0のアスペクト比を有する僅かに0.25μ幅(底
部で0.15μ)のアパーチャにおいて達成されたもので、
これは在来のスパッタリング技術では実行できないとこ
れまで考えられていたことである。特に、本発明は、キ
ャリア層の上にアルミニウムのスパッタ堆積を施して高
アスペクト比の穴にコンタクトを形成しよってアパーチ
ャにコンタクトを作ることを可能にするもので、これら
は在来のスパッタリング技術を使って充填するには非実
用的でありもしくは不可能であると思われたものであ
り、又、スパッタされた伝導性材料束がイオン化され次
いで基板の方へ電気的に引き付けられる場合だけ充填で
きると考えられていたものである。本発明には、キャリ
ア層で可能になるような高アスペクト比のアパーチャに
形成されたコンタクトと、キャリア層を形成する処理を
含むコンタクト形成処理法と、さらにコンタクトとキャ
リア層とを作るのに用いられる装置とが包含される。
【0023】III.具体例 本発明は、薄膜層におけるアパーチャにコンタクト、ビ
ア又は他の構造を設けるために新規な装置と新規な方法
とを用いるものである。その方法と装置は、高アスペク
ト比の穴に、コリメーターを必要とせず、またイオン化
状態のアパーチャ充填材料(典型的には、導体)を供給
する必要もなく、コンタクト、ビア又は他の類似構造を
設けるのに用いることができる。その方法と装置はま
た、その上に堆積される充填材料をもってアパーチャの
側壁上に堆積されるキャリア層をも与える。1つの特定
の具体例では、材料がターゲットからスパッタされ、且
つそれが基板上に堆積される以前に、少なくとも部分的
にイオン化される(10乃至100%のイオン化)、キャリア
層はイオン化堆積技術を使って堆積される。好ましく
は、キャリア層の一部は非反応ターゲット材料から構成
され且つキャリア層の残部は、基板上に堆積される以前
に、既にガスと反応させられたターゲット材料から構成
される。出願人が見い出したことは、該キャリア層は、
従来技術で用いられたそれらより低いリフロー温度で、
且つ平行化した種層を必要とせずに、高アスペクト比の
穴の充填を容易化するということである。
【0024】A.代表的アパーチャ コンタクトを形成するためにここに記述された諸技術を
使って充填されるビア、トレンチ又は穴のようなアパー
チャの説明として、図1はキャリア層100を含むアパーチ
ャ113の略図を示す。例としての図のアパーチャ113は、
シリコンベース100上の二酸化シリコン薄膜層111を通し
て形成された。アパーチャ113は、二酸化シリコン薄膜
層111を通してシリコンベース110までドライエッチング
により作られたを。
【0025】B.本発明のコンタクト 最初に図1を参照して説明すると、そこには、高アスペ
クト比のアパーチャ113に、特に約5:1(1.2μ高さで.25
μ幅)のアスペクト比を有するアパーチャ113に形成さ
れた好例のコンタクト118が示されている。コンタクト
には少なくとも次の2つの副要素が含まれる。すなわち
キャリア層;及びキャリア層が堆積された後に残ってい
るアパーチャ容積部分を充填するためにキャリア層上に
堆積された伝導性材料である。ここに記述したコンタク
トの具体例では、キャリア層は、ターゲットからの材料
をスパッタし、それを基板上に堆積し、スパッタした材
料の一部をそれが基板上に堆積される以前にターゲット
と基板間の空間に維持されているガスとを反応させ、そ
してまた、ターゲットからスパッタされた材料の少なく
とも一部をそれが基板上に堆積される以前にイオン化す
ることにより形成される。典型的コンタクトの伝導性材
料は、低温にてキャリア層上に種層を堆積させ、その後
続いて同一チャンバで伝導性材料の堆積を行い、一方、
同時に行うリフロープロセスは、4分間390℃の基板温度
で加熱することにより実行されるが、伝導性材料を含む
ターゲットの伝統的スパッタリングで生成した。
【0026】図1に示したコンタクトに関する特定の具
体例では、アルミニウムが伝導性材料として使われ、伝
統的スパッタリング技術を用いてキャリア層上に堆積さ
れる。コンタクトを形成するため、アパーチャは下層に
ある基板領域まで延びて、そのベース111の所でシリコ
ン基板の露出部分を包含する。シリコンとアルミニウム
間の相互拡散を防ぐため、キャリア層も障壁層として機
能する。コンタクトの具体例では、障壁層は、もし望ま
れるなら、単一のチャンバで形成されてよい3つの下層
から作る。第一下層112は、二酸化シリコン層111とシリ
コンベース110の両表面上に堆積される以前にターゲッ
トからスパッタされそして部分的にイオン化(10乃至10
0%イオン化)されたチタンの下層であり、第二の下層11
4は、第一下層112上に堆積される以前に部分的にイオン
化され且つ窒素と反応して窒化チタンを生成するスパッ
タされたチタンの層であり、そして第三下層116は、ス
パッタされたチタンと部分的イオン化状態(10乃至100%
イオン化)で堆積された窒化チタンとの両方から構成さ
れる層である。チタン層112を堆積後、ケイ化チタンの
薄層124は導体と下層基板との間に障壁層を設けるた
めに高温アニーリングでビア113の底面に形成され得
る。しかし、本発明のキャリア層は、ケイ化チタンを生
成させるためにキャリア層をアニールしなくても障壁層
として働くことになろう。
【0027】キャリア層は、いったん堆積されると、伝
導性材料が充填できるようアパーチャ内部に内部容積部
分117を残して、約800オングストロームの厚さをもつ共
形層を与える。コンタクト100の残余容積部分117は、次
いで、2分間390℃から10分間350℃の順で比較的低い基
板温度でリフロー処理を行いながら、伝統的な、即ち、
非イオン化スパッタアルミニウム(又はアルミニウム合
金)堆積操作によって充填される。極めて高いアスペク
ト比のアパーチャは、導体のスパッタ源のイオン化堆積
によってのみ充填し得るという従来技術の提言とは反対
に、出願人は、ここに記述したようにキャリア層を用い
れば、在来のスパッタ技術によりアパーチャ充填材料を
堆積し且つ高アスペクト比のアパーチャ中に流し込んで
コンタクト、ビア等を形成できるということを見い出し
た。それ故、このキャリア層を採用することにより、従
来の方法でスパッタしたアルミニウム層を用いてアパー
チャの残余容積を充填してコンタクトを作ってもよい。
スパッタしたアルミニウムのイオン化堆積に要する装置
が、スパッタ材料の標準的な、即ち、伝統的な堆積に要
するそれよりはるかに高価であるという理由から、この
処理は望ましいものである。さらに、キャリア又は障壁
層によって、従来技術のそれらより実質的に低いリフロ
ー温度でアパーチャ中に従来法でスパッタしたアルミニ
ウム層の堆積が可能となる。
【0028】C.本発明のキャリア層 1.キャリア層の1具体例 本発明のキャリア層は、コンタクトに関して上述したよ
うに、障壁層として用いてよく、又は、障壁層が不要な
場合に導体のような充填材料で高アスペクト比のアパー
チャの充填を促進するのに用いてもよい。何れの場合に
おいても、キャリア層により、従来法に比べて低い温度
のリフロー処理において及び/又はより速い充填・平坦
化時間で高アスペクト比を有するアパーチャを充填材料
で充填することが可能となる。キャリア層は、多くの方
法で特色付けてよい。特性に関する何れかの特性を満足
するキャリア層により、従来法に比べて低い温度と速い
リフロー時間で高アスペクト比のアパーチャの充填が可
能となると考えられる。一つの特性では、キャリア層
は、滑らかな層、即ち、従来法と比べて低い表面粗さを
有する露出表面をもつ層である。該平滑層の1つは、稠
密円柱障壁層材料の構造を含み、この場合、円柱は、ア
パーチャ壁に対して実質的に垂直に配置される。別の特
性において、キャリア層はぬれ効果を有する。即ち、そ
の上の材料、アルミニウム及びその合金を含む特定材料
のフローを助長する。さらに別の特性において、キャリ
ア層は、スパッタ粒子のイオン化され、部分的に反応性
の堆積という処理によってアパーチャの壁面と底部とに
材料を堆積させることにより形成されるもので、即ち、
ここでは、ターゲットからスパッタされる材料は少なく
とも一部分イオン化され、部分的にガスと反応し、そし
てそのイオン化され部分的に反応した材料は、基板支持
体上に印加された電界の維持による等の方法で、基板の
方へ向けられる。
【0029】ビア、トレンチ、及びスルーホールのよう
なアパーチャをライニングするための、本発明のキャリ
ア層構造の1具体例は、イオン化されスパッタされた耐
熱性金属の層を基板上に堆積し、続いて基板上に耐熱性
金属化合物の第二の層を堆積させるためスパッタされた
耐熱性金属を反応雰囲気においてイオン化堆積し、続い
て耐熱性金属化合物を生成させ且つイオン化された耐熱
性金属によって部分的に基板上に第三の層を形成するた
め予めガスと反応させられたイオン化された耐熱性金属
から部分的に構成される層を堆積することにより、達成
されるものである。第三の層は、ターゲットがスパッタ
される時に、ターゲットと基板間の領域にスパッタされ
た耐熱性金属と反応するガスを維持することによって生
成された、ある割合の耐熱性金属化合物を含有してよ
く、好ましくは、第三層において生成された最高濃度の
耐熱性金属化合物を第二層に隣り合わせ、結果的に、第
三層の外表面の方へ(化合物の濃度の少ない)耐熱性金
属の濃度を増やす。この第三層の組成をもたらす既知法
の1つは、チャンバを有毒化(poisoned)モードに維持す
ることである。即ち、この場合、反応性ガスの量が、ス
パッタされた材料とガスとの間の完全な反応を確保する
のに要する量を超え、そしてスパッタリングを継続させ
るためにアルゴン(又は他の非反応性種)の供給を維持
しながら反応性ガスの供給を遮断することである。残留
している反応性ガスはスパッタされた材料と反応し、そ
の結果、有効量の反応性ガスは、化合物を生成するのに
使われるので、減少することになる。好ましくは、ガス
をプラズマ状態に保持する電力は、全ての反応性ガスが
反応する前に切られ、よって、キャリア層の最外表面
は、純粋な形のスパッタ材料と、スパッタ材料と反応性
ガスから成る化合物とを両方とも含む。キャリア層が堆
積されてしまえば、電気コンタクト、即ち、一般には金
属化層は、ターゲットからのアルミニウムのような伝導
性材料のスパッタリングにより及び在来のスパッタリン
グとリフロー技術を使ってそれを平滑な障壁層構造の第
三の層の上に堆積することによって得ることができる。
図1のキャリア層の具体例では、キャリア層は、3つの
層:Ti/TiN/TiNxを含む。制限無しでTaNとTaを含む、他
の耐熱性金属及び耐熱性金属化合物によって本発明の諸
利点を実現できる、ということは出願人も信じていると
ころである。加えて、本発明の好ましい実施では、キャ
リア層が多重の下層を含み、この場合、各下層は同一の
金属ベースから構成されること、即ち、TiがTi/TiN/TiN
xのベース金属であることが想定されているが、多重レ
ベルのキャリア層の個々の層は、各層のベース金属とし
て異なった材料から構成されてもよいと出願人は思う。
多層キャリア層を形成するのに、Tiのような、単一ベー
ス金属を使用する主な利点の1つは、キャリア層全体を
単一チャンバにおいて連続処理で堆積できる、というこ
とである。
【0030】例示したコンタクト118のキャリア又は障
壁層は3つの異なった下層を設けられているが、最外層
はまさしく、即ち特定例においてTiとTiNから形成され
たTiNx層(xは、その層のTiNの重量による割合を反映す
る)、又はTiN層はまさしく、本発明の高度のアパーチ
ャ充填特性を実現できるものと出願人は思う。
【0031】2.キャリア層の特性 キャリア層の1つの特性はその微細構造にあることを出
願人は見い出した。標準の反応性スパッタリング法を使
って生成された耐熱性金属又は金属合金の微細構造のた
め、リフロー操作を受けるスパッタアルミニウムが高ア
スペクト比のアパーチャ中へ難なく流れ込みそしてそれ
を充填することはない。このことは、従来法でスパッタ
された耐熱性金属化合物の表面形状並びにぬれ性の欠如
に起因するものと思われる。しかし、本発明のキャリア
層の1つの特性は、比較的滑らかな表面であり、そのオ
ングストローム単位の表面粗さは、従来技術の、伝統的
にスパッタされた障壁層(約40-50オングストローム)
のほぼ三分の一(約15オングストローム)である。この
比較的平滑な層によって、従来の伝統的にスパッタした
障壁層と比較して、より低い温度で、且つ集積回路製造
の時間的制約の範囲内で、即ち、ほぼ5分で、高アスペ
クト比の穴を充填することが可能となることが見い出さ
れた。事実、本発明のキャリア層は、アスペクト比が5:
1で幅が約2500オングストロームのアパーチャのアルミ
ニウム導体による充填を可能にしており、これは、従来
法に従う限り妥当な時間内では不可能なことである。こ
れらの諸性質を有するアパーチャ中に伝統的スパッタリ
ング法を使ってアルミニウムをスパッタ堆積させると、
そのスパッタしたアルミニウムは許容し難いボイドで一
杯になった。そのボイドを除去するためにアルミニウム
をリフローしようと試みたが、高温においてすら成功し
なかった。
【0032】キャリア層の別の特性は、その方位がアパ
ーチャ壁に垂直な全体に縦のグレン構造を有する、堆積
材料の複数の稠密結晶性又は顆粒状堆積物のそれであ
る。キャリア層が伝統的スパッタリング法を使って堆積
される場合における従来技術の構造では、同様のグレン
又は結晶性構造が形成されるが、それらの方位はアパー
チャ壁に垂直ではなく、且つその端面は上方に面し一連
の鋸歯エッジを生ずる。これらのエッジが、従来技術で
は、アパーチャ壁の下方への導体のフローを阻止するも
のと思われる。
【0033】キャリア層のさらに別の特性としては、そ
れによって、そこを通過する導体材料のフローを助長す
るぬれ層が形成されることである。特に、その層は、そ
こを通過する導体材料のフローを助長して、アパーチャ
のボイドフリー堆積を可能にするものと出願人は考え
る。
【0034】これらの諸特性の各々は、本明細書に記述
したようなイオン化堆積技法を使って3層、Ti/TiN/TiNx
層を形成することにより実現できるものである。
【0035】D.方法 本発明のコンタクト構造は、先ず、キャリア層をアパー
チャの壁及び底部上に堆積し、その後、伝統的スパッタ
技術を使って充填材料を堆積させ且つアパーチャ充填の
ためその材料をリフローしてアパーチャを充填すること
により、ビア、スルーホース、又はトレンチのようなア
パーチャ内部に作られる。アパーチャをライニングする
のに用いられるキャリア層は、イオン化堆積法によっ
て、好ましくは、スパッタ材料束をイオン化することに
より生成される。キャリア層は、好ましくは、イオン化
スパッタした耐熱性金属の少なくとも1層から構成さ
れ、それは、部分的にガスと反応して薄膜層(100オン
グストローム又はそれ未満)の状態で耐熱性金属と耐熱
性金属化合物とから成る薄膜層を形成する。議論上の目
的で、従ってそれによって制限されるものではないが、
本発明は、ここでは、耐熱性金属としてチタンを且つ耐
熱性金属化合物として窒化チタンを用いて説明する。1
具体例では、その構造は、図1に示し且つ上述した方式
の3層構造である。
【0036】先に述べたように、キャリア層を形成する
ためイオン化スパッタしたチタンの堆積によって、この
場合は、イオン化スパッタしたチタンの一部が窒素と反
応することになるが、従来技術の障壁層からみて比較的
滑らかな表面を有する表面がアパーチャ内に作られ、こ
れによってその後スパッタされるアルミニウムで障壁層
の表面を濡らし且つその表面上を流すことができる。事
実、驚いたことには、アルミニウムは、側壁の表面上を
滑り続けるように流れて、最初にスパッタしたアルミニ
ム内部に捕捉されたボイドを何れも、従来技術のリフロ
ー温度を著しく下回る温度で、徐々に充填する。TiNx
又はTiN層は、それだけでここで記述したリフロー及び
充填の増進を来すと思うが、出願人は、最も好ましいキ
ャリア層は、Tiのベース層と、その上のTiN層と、さら
に最終のTiNx層から構成されると考える。
【0037】上述の諸方法は、図5に示すような種類の
低圧処理システム500において特に都合よく実施される
ものである。平滑な障壁層構造の付加操作は、図2に示
す構造を有する)1つの個別低圧チャンバ510で実施さ
れ、一方、アルミニウムのスパッタリングは、(図2に
示すチャンバと類似構造であるが、コイル214が無く且
つ基板空間により接近してターゲットを有し、且つ基板
と支持体部材間にガスを保持した基板支持体と接触して
電気ヒータのような基板加熱用装置を有する)別の個別
低圧チャンバ512で実施され、その後の処理は、さらに
別の個別低圧チャンバ513で実行される。本発明を実施
するための支持体部材のヒータとガス流の構成の具体例
の1つは、米国特許第5,228,501号に開示されており、こ
こに参考として十分編入されている。中央低圧チャンバ
514は、個々の低圧チャンバを連結するもので、1つの個
別低圧チャンバから他への製作品(ワークピース)の搬
送には、ロボット(表示せず)が用いられる。
【0038】本発明のキャリア層に関する1つの製造法
には、スパッタ材料の束を供給することと、スパッタ材
料をイオン化することと、スパッタ材料の束の一部分を
ガスと反応させてそれが基板上に堆積される以前に化合
物を生成することと、基板支持部材上にバイアスをかけ
てイオンを基板に引き付けて同時にスパッタ材料を堆積
することと、その後で充填材料を基板上に堆積し且つ充
填材料をリフローしてアパーチャを充填すること、から
成る諸ステップが含まれる。好ましくは、充填材料は2
段階で堆積する、充填材料の種層をほぼ150℃を下回る
温度で堆積し、そして残りの充填材料は、基板を高温
の"リフロー"温度に加熱し、その後その温度に維持しな
がら、堆積する。キャリア層の堆積は、第一チャンバで
実施し、そして充填材料の堆積は、追加チャンバで実施
する。
【0039】図1に示すようなキャリア層の3層構造を実
現するためには、好ましくは、3つの下層全ては、単一
チャンバ中で連続処理で堆積する。これは、チタン素地
のキャリア層の場合、チタンターゲットをスパッタリン
グすることと、チタンの少なくとも一部分(10%から100
%)をそれが基板上に堆積される以前にイオン化するこ
とと、イオン化したターゲット材料を基板の方へ引き付
け且つそれをもって第一の下層を形成することと、その
後、スパッタリングとイオン化が継続するように十分な
量の反応ガス、好ましくは窒素、をチャンバ中へ導入し
て、それによってターゲットからスパッタされる材料の
全てをガスと反応させて基板上にTiNの複合薄膜層を形
成することと、次いで、ベースターゲット、好ましく
は、Tiと、反応生成物、好ましくは、TiNとの両方から
構成される薄膜層を基板上に形成するために、そのまま
ターゲットをスパッタリングし且つそのスパッタターゲ
ット材料をイオン化しながら、チャンバへの反応ガスの
フローを停止すること、から成る諸ステップを実施する
ことにより達成してよい。いったんTi/TiNの下層(以後
TiNx)が十分な厚さまで形成されてしまえば、システム
への電力を切ってスパッタリング処理を停止する。
【0040】適当な組成と厚みをもつキャリア層を基板
上に堆積した後、基板を在来のスパッタリングチャンバ
へ移し、ここで、従来型のスパッタ堆積及びリフロー技
術を使って充填材料をキャリア層上に堆積するが、低温
での種層の形成それに続く高温でのリフローは、単一チ
ャンバで実施してよい。
【0041】E.発明を実施するための装置 本発明の方法をその中で実施してよい処理システムは、
Applied Materials社(Santa Clara,California)の550
0型集中処理システム(Integrated ProcessingSystem)で
ある。この処理システムは、図には特に示さないが、図
2に示すその処理要素は、該集中処理システム内部に含
まれている1つの低圧処理チャンバの中で操作できるも
のである。そのシステムはまた、米国特許第5,186,718
号と5,236,868号に提示・説明されており、その開示は
参考としてここに引用する。図2を参照して、本発明の
平滑障壁層を形成するための1つの低圧処理チャンバに
は、(スパッタリング用プラズマを閉じ込めてスパッタ
リング速度を増すための)スパッタ磁石210と、約24 kW
未満の電力値で動作するスパッタリングターゲットカソ
ード212が採用されている。特殊な、Ti素地のキャリア
層を形成するためのチタンのターゲットカソードは、直
径14インチ(35.5 cm)であり、このカソードに約3 kWか
ら約5 kWまでの範囲にわたってDC電力を印加した。直径
8インチ(20.3 cm)のシリコンウェハから成る基板をター
ゲットカソード212から約5インチ(12.7cm)の距離を離し
て配置した。高密度の、誘導結合のrfプラズマは、rf電
力213を約1 MHzから13.6 MHzまでの範囲にわたってター
ゲットと基板間のプラズマ領域を取り囲んでいる直径0.
125インチ(0.32 cm)の水冷式金属配管214の(好ましく
は4巻きを越える)多重巻きコイルに印加することによ
り、ターゲットカソード212と基板218間の領域に発生さ
せた。50〜800 kHzのオーダーの、比較的低周波数のバ
イアスを基板218又は支持部材に印加する。生ずるセル
フバイアスによって、プラズマからのイオンが基板の方
へ引き付けられる。
【0042】キャリア層を形成するための好ましい装置
は、プラズマを誘導結合し且つスパッタ材料をイオン化
するのにコイルを使用するが、材料をイオン化するため
に他の装置も考えられる。例えば、米国特許第4,911,81
4号に提示・説明され、ここに参考として引用したよう
な、ECR源、又は米国特許第4,990,229号に提示・説明さ
れ、ここに参考として引用したような、ヘリコン方式の
連結装置もとりわけ想定されるものである。同様に、10
から100%のイオン化比率を有する堆積粒子のイオン化し
た流れを供給するであろうところの他の装置も、発明実
施に有用であると考えられる。
【0043】
【実施例】
IV.実施例 A.実施例 A イオン化させ、部分的に背景ガスと反応させた窒化チタ
ン/チタン層について、直径8インチ(20.3 cm)の基板の
表面上で、分当たり約300オングストロームという堆積
速度を得るために、2 MHzのrf電力 1.5 KWをコイル214
に印加し、一方、5 KWのDC電力をチタンターゲットカソ
ード212へ加え、且つ350 kHzのACバイアス90Wを基板プ
ラテン電極220を加え、その結果、70 VのD.C.バイアス
を生じた。スパッタ材料のスパッタリングとイオン化
は、チャンバ圧力が約20 mTから約30mTの範囲の処理チ
ャンバで実施した。分当たり約300オングストロームの
堆積速度を得るために、スパッタ材料のスパッタリング
とイオン化は、約30 mTで実施した。この圧力は、Appli
ed Materials社の5500型集中処理システムにおいて約10
sccmのアルゴン送込み速度及び70 sccmの窒素送込み速
度に対応した。処理チャンバにおける基板の温度は、約
50℃であった。
【0044】B.実施例 B 直径8インチ(20.3 cm)の基板の表面上で、イオン化チタ
ンの分当たり約1200オングストロームという堆積速度を
得るために、2 MHzのrf電力 1.5 KWをコイル214に印加
し、一方、5 KWのDC電力をチタンターゲットカソード21
2へ加え、且つ350 kHzで120 Wの低周波数バイアスを基
板プラテン電極220を加え、その結果、45VのD.C.バイア
スを生じた。スパッタリングとイオン化は、チャンバ圧
力が約20mTから約30 mTアルゴンの範囲の処理チャンバ
で実施した。分当たり約1200オングストロームの堆積速
度を得るために、スパッタリングとイオン化は、約20 m
Tで実施した。この圧力は、Applied Materials社の5500
型集中処理システムにおいて約45 sccmのアルゴン送込
み速度に対応した。処理チャンバにおける基板の温度
は、約50℃であった。
【0045】V.キャリア層のステップカバレージ 図3は、印加電力と処理チャンバの圧力の関数として、
イオン堆積式スパッタ化チタン及び窒化チタンに関して
達成されたボトムカバレージ(bottom coverage)の%を
示すものである。パーセントのボトムカバレージは、ス
ルーホールの底面におけるチタン又はその結果生じた窒
化チタンのイオン化スパッタ堆積の厚さをスルーホール
を囲んでいる基板の上表面上に堆積された材料の厚さの
パーセントとして指すものである。従って、もしボトム
カバレージが50%なら、スルーホール底面における層の
厚さは、基板の上表面上の層厚の50%である。スパッタ
粒子のイオン化堆積法を使って得られるボトムカバレー
ジは、標準のスパッタリング法を使って達成されるもの
より10倍以上大きいことが立証されている。ボトムカバ
レージのこの著しい上昇は、スルーホールの壁の平滑度
の驚くべき上昇を伴い、これによってアルミニウムは予
想外の容易さで壁の上を流れることができ、最終のコン
タクト構造にボイド領域が存在しないホールの急速充填
を実現できるのである。
【0046】図3に提示したデータは、スルーホールの
底面の所で0.25μの直径を有し且つアスペクト比が約5:
1 (5.0)のスルーホールに関するものである。印加した
電力の作用は、ターゲットカソードのDC電力に対する誘
導コイルのRF電力の比として計算し、その比に支持電極
のDCバイアスを掛けた。
【0047】VI.0.25ミクロンのアパーチャ用処理ウイ
ンドウ 図4は、約5のアスペクト比を有する直径0.25μのスルー
ホールのアルミニウム充填を実現できるアルミニウムス
パッタリングについての時間温度条件を示す。スルーホ
ールは、シリコンウェハ基板上に堆積させた二酸化シリ
コン層約1.2μ厚をドライエッチングして作製した。次
いで、本発明の3層Ti/TiN/Tixのイオン化スパッタ材料
構造体を(下層のシリコン基板を含む)スルーホール上
に加えた。
【0048】図4に表したスルーホールは、スルーホー
ルを含んでいる基板の表面上に加えられた厚さ約200オ
ングストローム堆積のイオン化スパッタ材料の第一層で
ライニングした。典型的には、ホール底面でのチタン層
の厚さは約140オングストロームで、これはスルーホー
ルを囲んでいる基板の上表面上の200オングストローム
厚の堆積の約70%であった(ボトムカバレージは約70%で
あった)。続いて、窒化チタンの第二層約800オングス
トロームをイオン化させ且つ窒素と反応させた後チタン
層の表面上に堆積した。典型的には、窒化チタン層の厚
さは、約540オングストロームで、これは基板の上表面
上の800オングストローム厚の堆積の約65-70%であっ
た。最後に、前述のイオン化堆積法と反応性処理法を使
って、チタンの第三層を窒化チタン層の表面上に堆積し
た。典型的には、ホール底面での第三層の厚さは約60オ
ングストロームで、これは基板の上表面上のチタン層の
約60%であった。第三層の形成は、同一の処理チャンバ
で、第二層形成用窒素ガス流の終止直後に開始されたの
で、第三層は、重量で約10%の窒化チタンを包含してお
り、これは、主に、第三層の最初に形成された部分の方
に集中していた。好ましくは、チタン層の全ては、同一
チャンバで順次堆積させる。本発明の構造体の好ましい
具体例に習ってライニングしたスルーホールは、次い
で、従来法でスパッタしたアルミニウムで充填した。詳
細には、アルミニウムは、約0.5%の銅と約99.5%のアル
ミニウムから成るターゲットからスパッタさせ、結果的
に銅の存在がアルミニウム薄膜のエレクトロマイグレー
ションに対する抵抗性を高めた。最初に、約2,000オン
グストローム厚のコールド・スパッタアルミニウムを3
層構造上に付加した。約150℃以下の基板温度で付加し
たこのコールド・スパッタアルミニウム層は、3層構造
のチタン層にうまく付着する"種"層をもたらすものであ
る。次いで、アルミニウムのコンタクト塊(バルク)を
図4のグラフ上で特定した温度でスパッタリングを実施
して堆積した。アルミニウムは、熟練した当業者に周知
の在来法を使って、同一のターゲットから且つ基板支持
体をそのターゲットからコールド堆積法の場合と同じ距
離に保持しながらスパッタさせた。D.C.電力は、図4で
特定した時間で8000オングストローム層を与えるよう調
整した。スパッタリングは、約0.5 mTから約2 mTまでの
アルゴン圧力範囲にわたって処理チャンバで実施した。
これは、Applied Materials社の5500型集中処理シス
テムにおいて約35 sccmのアルゴン送込み速度に対応し
た。
【0049】図4のグラフ上のチェック領域410は、従来
技術の障壁形成法を使ってスパッタアルミニウムを金属
化処理中に付加し得る条件範囲を表すものである。これ
らの条件は、いくつかの用途には有用であるが、0.25μ
の幅と1以上のアスペクト比を有するスルーホールの充
填を可能にするものではない。図4のグラフ上の(チェ
ック領域410の下層にある領域を含む)斜線領域412は、
0.25μの幅と5.0のアスペクト比を有するスルーホール
の充填を達成するために、アルミニウムを本発明の平滑
な障壁層上にスパッタし得る条件範囲を表すものであ
る。有効な低温処理ウインドウによって、アルミニウム
のスパッタリング処理中の電力消費を軽減させ、且つリ
フローでコンタクトを充填するのに使ってよい有効な材
料の拡大ができるよう、基板加熱に比較的長寿命の低温
加熱素子を使って温度を下げることは可能である。
【0050】断面走査電子顕微鏡法を使って、スルーホ
ールが充填され且つボイドフリーが達成されたことを確
認。
【0051】VII.諸代替法 本発明の最も好ましい具体例では、導体層は、低温にお
いて導体材料の"種"層をスパッタ堆積させ、且つその後
高温においてアパーチャの残り部分をスパッタ堆積導体
で充填することにより与えられたが、アパーチャの充填
は、基板をその直径より遠くターゲットから離して行う
長行程スパッタリング法と、それに続く、通常のスパッ
タ堆積法、即ち、ターゲット対基板の間隔2乃至5 cmに
おけるスパッタターゲット材料のイオン化堆積又はその
他の方法によるような、他の手段で実現してもよい。し
かし、ここに記述したようなイオン化スパッタ材料の堆
積で施されるライニングは、最大の利点、即ち、導体が
在来のスパッタリングで与えられる場合のホール充填の
可能性の大幅な改善をもたらすことを発明者は見い出し
た。特に、イオン化スパッタリングによって実施された
ライニングにより、従来のスパッタリング法でアパーチ
ャの充填が可能となり、それは、典型的に、イオン化ス
パッタリング法又は長行程スパッタリング法のそれの少
なくとも2倍の堆積速度を有するものである。それ故、
発明は、図5のラインに沿ってクラスタ・ツールを設け
ることにより最大利点まで利用でき、この場合、少なく
とも1つの堆積チャンバはキャリア層を生成し、他のチ
ャンバ群が導体材料を与える。コンタクトとキャリア
層、コンタクトとキャリア層を形成する装置、及びコン
タクトとキャリア層を形成する方法は全て、物理的蒸気
堆積法によるキャリア層の生成に関連して記述されてい
るが、本明細書に記述した諸方法を使って作ったキャリ
ア層の1つ以上の特性を有するキャリア層は、何れも、
伝統的スパッタリング法による高アスペクト比のホール
の充填を可能にする上で有用であることを、出願人は提
起するものである。従って、本発明のキャリア層並びに
コンタクトは、そのキャリア層がここに記述した1つ以
上の性質又は特性を有する場合、キャリア層又はキャリ
ア層上のスパッタ堆積コンタクトの何れをも包含するも
のと、広義に解釈されるべきである。
【0052】上述の好ましい具体例は、本発明の範囲を
限定しようとするものではなく、本開示の観点において
熟練した当業者は、前出の発明の請求範囲の主題の内容
に対応すべく前述の諸具体例を拡大してよい。
【図面の簡単な説明】
【図1】本発明の方法と装置を使って、高アスペクト比
のビア113の内部に形成した伝導性コンタクト118の略図
である。
【図2】発明を実施する上で有用なスパッタリング装置
200の略図である。
【図3】図1に示したような方式のビアのボトムカバレ
ージのパーセントをグラフ的に図解した図である。ここ
で用いるボトムカバレージのパーセントは、ビアの上方
最上面上に堆積された層の厚さの%としてビアの底表面
上に堆積された層の厚さを指す。ボトムカバレージのパ
ーセントは、スパッタリングチャンバの圧力の関数とし
て、及びDC電力(図2で213)x DCバイアス(図2で222)
に対するrf電力(図2で216)の比の関数として示され
る。
【図4】スルーホールが、本発明の3層の最も好ましい
具体例のキャリア層構造(Ti/TiN/TiNx)で予めライニ
ングされた場合における、約5のアスペクト比を有する
直径0.25μのスルーホールのアルミニウム充填を実現で
きるアルミニウムスパッタリングについての時間温度条
件の範囲をグラフ的に示した図である。
【図5】システム内に複数の個別低圧処理チャンバを有
するマルチチャンバ式低圧処理システムの略図を示した
図である。集積回路デバイスがその上に形成されること
になる基板から成る製作物(ワークピース)は、低圧環
境に収容したまま1つの個別チャンバからロボットを使
用して(図示しない)他へ移すことができる。
【符号の説明】
110…シリコンベース、111…二酸化シリコン層、112…
第一下層、113…アパーチャ、114…第二下層、116…第
三下層、200…スパッタリング装置、210…スパッタ磁
石、212…ターゲットカソード、213…rf電力、214…
コイル水冷式金属配管、218…基板、220…基板プラテン
電極、500…低圧処理システム、510、512、513…個別低
圧チャンバ、514…中央低圧チャンバ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョン フォースター アメリカ合衆国, カリフォルニア州, サン フランシスコ, ハラム ストリー ト 41 (72)発明者 ツェ−ヤン ヤウ アメリカ合衆国, カリフォルニア州, サニーヴェール, ヴィセント ドライヴ 1243 ナンバー78

Claims (42)

    【特許請求の範囲】
  1. 【請求項1】 電気コンタクトが内部に形成されるアパ
    ーチャのアスペクト比が1:1を越えることを特徴とする
    多層集積回路の電気コンタクトであって、 キャリア層から成るアパーチャライニングと、 前記キャリア層の上に堆積され且つ前記アパーチャを充
    填する為のリフローされるスパッタ導体と、を含むコン
    タクト。
  2. 【請求項2】 前記キャリア層がスパッタリングチャン
    バ内で堆積され且つキャリア層を形成するためにターゲ
    ットからスパッタされる材料の、少なくとも一部が基板
    上への堆積に先立ってイオン化されることを特徴とする
    請求項1記載のコンタクト。
  3. 【請求項3】 前記キャリア層が、基板上に堆積される
    以前に第二の種と反応したターゲット材料の成分をも部
    分的に含むことを特徴とする請求項2記載のコンタク
    ト。
  4. 【請求項4】 前記キャリア層が、一部が既にイオン化
    された後でターゲットからスパッタされ且つ基板上に堆
    積された材料を含む第一の下層(sub-layer)と、スパッ
    タされた材料の一部が堆積される前にイオン化され且つ
    ガスとさらに反応させられた後で前記第一層の上に堆積
    されたスパッタ材料の第二の下層と、前記第二の下層の
    表面上に付加されるものであって、第二の下層上に堆積
    される以前にイオン化されたスパッタ材料の第一の部分
    と、基板上に堆積される以前にイオン化され且つガスと
    反応させた部分とから構成される表面層を形成する第三
    の下層とを包むことを特徴とする請求項1記載のコンタ
    クト。
  5. 【請求項5】 前記キャリア層が少なくとも2つの下層
    を含み、且つ前記下層の最外表面層が基板上へのその堆
    積に先立って既にイオン化されたスパッタ材料から形成
    されることを特徴とする請求項1記載のコンタクト。
  6. 【請求項6】 前記表面層が、前記表面層の重量で約10
    %未満の濃度でイオン化され且つガスと反応させた反応
    性イオン化堆積スパッタの耐熱性金属化合物を含むこと
    を特徴とする請求項4又は5記載のコンタクト。
  7. 【請求項7】 前記スパッタ材料がチタンであることを
    特徴とする請求項6記載のコンタクト。
  8. 【請求項8】 前記ガスが窒素であることを特徴とする
    請求項7記載のコンタクト。
  9. 【請求項9】 前記キャリア層が障壁層を提供すること
    を特徴とする請求項1記載のコンタクト。
  10. 【請求項10】 前記キャリア層がぬれ(wetting)層で
    あることを特徴とする請求項1記載のコンタクト。
  11. 【請求項11】 前記キャリア層が40オングストローム
    より小さい二乗和平方根(rms)の表面粗さを有すること
    を特徴とする請求項1記載のコンタクト。
  12. 【請求項12】 前記キャリア層がその上を流れる導体
    の抵抗を最小にするよう配向された稠密グレーン(grai
    n)から成ることを特徴とする請求項1記載のコンタク
    ト。
  13. 【請求項13】 前記導体がアルミニウムであることを
    特徴とする請求項1〜12のいずれか1項に記載のコンタク
    ト。
  14. 【請求項14】 多層集積回路において電気コンタクト
    の構成を可能にするキャリア層であって、電気コンタク
    トのアスペクト比が1:1を越え、前記構造がスパッタ材
    料の少なくとも1つの層を含み、第一成分が、少なくと
    も部分的に、基板へのその堆積に先立ってイオン化され
    且つ第二成分が基板への堆積に先立ってイオン化され且
    つガスと反応させたことを特徴とするキャリア層。
  15. 【請求項15】 前記のスパッタ材料がチタンであり且
    つ前記のガスが窒素であることを特徴とする請求項14記
    載のキャリア層。
  16. 【請求項16】 前記キャリア層が障壁層を提供するこ
    とを特徴とする請求項14記載のキャリア層。
  17. 【請求項17】 前記電気コンタクトのアスペクト比が
    1:1を越える多層集積回路の電気コンタクトであって、 a)基板上への堆積以前に少なくとも部分的にイオン化さ
    れ且つ基板への堆積に先立ってガスと反応させた耐熱性
    のスパッタされた金属化合物から形成され、電気コンタ
    クトが通過することになる開口部の表面上に適用される
    少なくとも1つの第一層と、 b)前記第一層の表面上に付加され且つ前記コンタクトを
    充填するためにリフローされる伝導性材料から成る少な
    くとも1つの層と、を含むことを特徴とする電気コンタ
    クト。
  18. 【請求項18】 前記の伝導性材料の層がスパッタリン
    グで堆積されることを特徴とする請求項17記載の電気コ
    ンタクト。
  19. 【請求項19】 前記電気コンタクトのアスペクト比が
    1:1を越える場合の多層集積回路の電気コンタクトであ
    って、 a)少なくともその一部がイオン化された後、前記基板上
    に形成されるスパッタされた耐熱性金属の層であって、
    前記電気コンタクトが通過することになる開口部の表面
    上に付加される少なくとも1つの第一層と、 b)第二層上の堆積以前に少なくとも部分的にイオン化さ
    れ且つ第二層上への堆積に先立ってガスと反応させた耐
    熱性のスパッタされた金属化合物から形成される少なく
    とも1つの第二層と、 c)基板上の堆積以前に少なくとも部分的にイオン化され
    且つ基板上への堆積に先立ってガスと反応させた耐熱性
    のスパッタされた金属から形成される層であって、前記
    電気コンタクトが通過することになる開口部の表面上に
    付加される少なくとも1つの第三層と、 d)前記第二層の表面上に適用され且つ前記コンタクトを
    充填するためにリフローされる伝導性材料の少なくとも
    1つの層とを含むことを特徴とする電気コンタクト。
  20. 【請求項20】 前記の耐熱性金属化合物が窒化チタン
    であり、前記の耐熱性金属がチタンであり、且つ前記の
    伝導性材料がアルミニウム又はアルミニウム合金である
    ことを特徴とする請求項19記載の電気コンタクト。
  21. 【請求項21】 前記のアルミニウム又はアルミニウム
    合金がスパッタリングで堆積されることを特徴とする請
    求項19記載の電気コンタクト。
  22. 【請求項22】 電気コンタクトのアスペクト比が1:1
    を越える場合において、多層集積回路にスパッタされた
    電気コンタクトの組込みを可能にするバリア層を製造す
    る方法であって、ターゲット材料及びターゲット材料と
    ガスとの化合物との組合せから成るキャリア層を生成す
    るステップを含むことを特徴とする方法。
  23. 【請求項23】 ターゲット材料が耐熱性金属であるこ
    とを特徴とする請求項22記載の方法。
  24. 【請求項24】 前記耐熱性金属がチタンであり且つガ
    スが窒素であることを特徴とする請求項23記載の方法。
  25. 【請求項25】 前記キャリア層が、前記キャリア層が
    形成されるチャンバから反応性の窒素ガスの存在を徐々
    に排除することにより形成されることを特徴とする請求
    項24記載の方法。
  26. 【請求項26】 前記の窒素ガスの存在が、前記第二層
    が形成されるチャンバへの窒素ガスの流れを徐々に中断
    することにより排除されることを特徴とする請求項25記
    載の方法。
  27. 【請求項27】 前記のイオン化堆積スパッタリングプ
    ロセスへの電力が、チャンバからの前記窒素ガスの存在
    の前記排除中の特定時間に遮断され、又は前記反応性窒
    素ガスの前記存在の排除後特定時間の間遮断されること
    を特徴とする請求項25記載の方法。
  28. 【請求項28】 電気コンタクトのアスペクト比が1:1
    を越える場合の多層集積回路の前記電気コンタクトを形
    成する方法であって、 a)前記電気コンタクトが通過することになる開口部の表
    面上に、耐熱性金属の少なくとも一部とイオン化した耐
    熱性金属とガスとを反応させることにより形成された耐
    熱性金属化合物の一部を含むと耐熱性金属の少なくとも
    1つの層を、イオン化した材料の発生源から堆積させる
    ステップと、 b)スルーホールが伝導性材料で充填されるまで耐熱性金
    属層の表面上に伝導性材料の少なくとも1つの層をスパ
    ッタ材料の発生源から堆積させるステップと c)伝導性材料を堆積して種層を形成することと、その
    後、基板をリフロー温度に維持しながら伝導性材料を継
    続して堆積することを含む伝導性材料で開口部を充填す
    るステップと、を含むことを特徴とする方法。
  29. 【請求項29】 リフローステップが4分以下で360℃以
    下の基板温度で達成され、且つ導体がアルミニウムであ
    ることを特徴とする請求項28記載の方法。
  30. 【請求項30】 リフローステップが2分以下で420℃以
    下の基板温度で達成され、且つ導体がアルミニウムであ
    ることを特徴とする請求項28記載の方法。
  31. 【請求項31】 リフローステップが350℃で10分以下
    で達成され、且つ導体がアルミニウムであることを特徴
    とする請求項28記載の方法。
  32. 【請求項32】 コンタクトがアスペクト比5:1である
    ことを特徴とする請求項29〜31のいずれか1項に記載の
    方法。
  33. 【請求項33】 半導体基板上の薄膜層におけるアパー
    チャを充填する装置であって、 イオン化した堆積材料を供給してアパーチャの壁面上と
    底部上に共形の薄膜層を形成するためのスパッタチャン
    バであって、堆積材料発生源と、ガス供給部と、前記チ
    ャンバ内に基板を配置させるための基板位置決め要素
    と、さらに、堆積材料の少なくとも一部を基板上に堆積
    される以前にイオン化するためのイオン化要素とを含む
    スパッタチャンバと、 前記スパッタチャンバにおいてアパーチャ中に形成され
    た薄膜上に堆積用の堆積材料の発生源を供給するための
    堆積チャンバと、 前記スパッタチャンバと前記堆積チャンバとを相互連結
    する搬送チャンバとを含むことを特徴とする装置。
  34. 【請求項34】 前記スパッタチャンバが、ガスを前記
    チャンバへ選択的に導入して基板上への前記イオン化粒
    子の堆積に先立ち前記堆積材料の少なくとも一部と反応
    させるための反応ガスの注入口を含むことを特徴とする
    請求項33記載の装置。
  35. 【請求項35】 前記スパッタチャンバが、さらに、堆
    積材料から成るスパッタリングターゲットを含むことを
    特徴とする請求項34記載の装置。
  36. 【請求項36】 前記堆積チャンバが、少なくともター
    ゲットと、ガス注入口と、前記ガス供給部によって供給
    されるガスをプラズマに変換し且つ(前記ガスによっ
    て)ターゲットをスパッタして堆積材料を生成させるエ
    ネルギーを供給するための電源とを含むことを特徴とす
    る請求項35記載の装置。
  37. 【請求項37】 前記堆積チャンバが、さらに、前記反
    応ガス供給部を少なくとも開閉するバルブと、前記ター
    ゲットのスパッタリング中、前記バルブを選択的に開閉
    するために前記バルブに連結されたシステム制御装置と
    を包含することを特徴とする請求項36記載の装置。
  38. 【請求項38】 前記バルブが、閉位置に、次いで開位
    置に維持でき且つその後前記ターゲットがスパッタされ
    る時に再度閉位置に維持できることを特徴とする請求項
    37記載の装置。
  39. 【請求項39】 前記スパッタチャンバにおける前記タ
    ーゲットが耐熱性金属であることを特徴とする請求項38
    記載の装置。
  40. 【請求項40】 前記スパッタチャンバにおける前記タ
    ーゲットがチタンを含むことを特徴とする請求項39記載
    の装置。
  41. 【請求項41】 前記反応ガスが窒素である請求項40記
    載の装置。
  42. 【請求項42】 前記のイオン化要素が、イオンー堆積
    チャンバにおけるプラズマ生成領域の回りに及ぶ少なく
    とも1巻き(ターン)の導体を包含することを特徴とす
    る請求項41記載の装置。
JP20863696A 1995-08-07 1996-08-07 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 Expired - Fee Related JP3193875B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/511,825 US5962923A (en) 1995-08-07 1995-08-07 Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US08/511825 1996-04-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001113150A Division JP2001358091A (ja) 1995-08-07 2001-04-11 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置

Publications (2)

Publication Number Publication Date
JPH09162293A true JPH09162293A (ja) 1997-06-20
JP3193875B2 JP3193875B2 (ja) 2001-07-30

Family

ID=24036608

Family Applications (3)

Application Number Title Priority Date Filing Date
JP20863696A Expired - Fee Related JP3193875B2 (ja) 1995-08-07 1996-08-07 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
JP2001113150A Pending JP2001358091A (ja) 1995-08-07 2001-04-11 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
JP2008183118A Pending JP2008261059A (ja) 1995-08-07 2008-07-14 半導体基板上の薄膜層のアパーチャのための充填装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2001113150A Pending JP2001358091A (ja) 1995-08-07 2001-04-11 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
JP2008183118A Pending JP2008261059A (ja) 1995-08-07 2008-07-14 半導体基板上の薄膜層のアパーチャのための充填装置

Country Status (4)

Country Link
US (5) US5962923A (ja)
EP (1) EP0758148A3 (ja)
JP (3) JP3193875B2 (ja)
KR (3) KR100489916B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002524837A (ja) * 1997-12-19 2002-08-06 アプライド マテリアルズ インコーポレイテッド 銅相互接続の電気移動耐性が改善されるように調整されたバリヤー層
JP2003203975A (ja) * 2001-12-22 2003-07-18 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
US8278211B2 (en) 2008-07-11 2012-10-02 Canon Anelva Corporation Thin film forming method

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2891161B2 (ja) * 1996-02-15 1999-05-17 日本電気株式会社 配線形成方法
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW402778B (en) * 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US5925225A (en) * 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW460597B (en) * 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
KR100480572B1 (ko) * 1997-11-13 2005-09-30 삼성전자주식회사 알루미늄배선층형성방법
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
JP3399814B2 (ja) * 1997-11-27 2003-04-21 科学技術振興事業団 微細突起構造体の製造方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JP3735461B2 (ja) * 1998-03-27 2006-01-18 株式会社シンクロン 複合金属の化合物薄膜形成方法及びその薄膜形成装置
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6187673B1 (en) * 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
JP2002534807A (ja) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド フィーチャ表面カバレッジの改善を促進する銅シード層の堆積方法
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
TW426953B (en) * 1999-01-22 2001-03-21 United Microelectronics Corp Method of producing metal plug
DE19922557B4 (de) 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
DE10014917B4 (de) * 2000-03-17 2004-12-02 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20050181600A1 (en) * 2000-06-30 2005-08-18 Hynix Semiconductor, Inc. Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6376281B1 (en) 2000-10-27 2002-04-23 Honeywell International, Inc. Physical vapor deposition target/backing plate assemblies
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
US6688584B2 (en) * 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6746950B2 (en) * 2001-11-14 2004-06-08 Vitesse Semiconductor Corporation Low temperature aluminum planarization process
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
DE10208787B4 (de) * 2002-02-28 2007-04-26 Infineon Technologies Ag Verfahren zum Füllen von teilweise bauchigen tiefen Gräben oder tiefen Gräben mit einer Flankensteilheit von 90° oder größer und dieses verwendende Verfahren zur Herstellung von MOS-Feldeffekttransistoren und IGBTs
DE10214065B4 (de) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
DE10219115A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6948231B2 (en) 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040112735A1 (en) * 2002-12-17 2004-06-17 Applied Materials, Inc. Pulsed magnetron for sputter deposition
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
KR100467783B1 (ko) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
EP1433740A1 (en) * 2002-12-24 2004-06-30 Interuniversitair Microelektronica Centrum Vzw Method for the closure of openings in a film
KR100688761B1 (ko) * 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 반도체의 금속배선 형성방법
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US7253092B2 (en) * 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR20060037255A (ko) * 2003-08-11 2006-05-03 허니웰 인터내셔널 인코포레이티드 타겟/벡킹 플레이트 구조물
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US20050181177A1 (en) * 2004-02-18 2005-08-18 Jamie Knapp Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
KR100594276B1 (ko) * 2004-05-25 2006-06-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
DE102005023670B4 (de) * 2004-05-25 2007-12-27 Samsung Electronics Co., Ltd., Suwon Verfahren zum Ausbilden von Metall-Nitrid-Schichten in Kontaktöffnungen und integrierte Schaltung mit derart ausgebildeten Schichten
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP4916444B2 (ja) * 2005-08-26 2012-04-11 株式会社日立製作所 半導体装置の製造方法
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
WO2007065460A1 (de) * 2005-12-09 2007-06-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dünnfilmwiderstand mit schichtstruktur und verfahren zur herstellung eines dünnfilmwiderstands mit schichtstruktur
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070243708A1 (en) * 2006-04-12 2007-10-18 Jens Hahn Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
US20090077506A1 (en) * 2007-05-16 2009-03-19 Eugene Anikin Simultaneous Multi-Layer Fill Generation
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
EP1947210A1 (fr) * 2007-01-16 2008-07-23 ARCELOR France Procede de revetement d'un substrat, installation de mise en oeuvre du procede et dispositif d'alimentation en metal d'une telle installation
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
JP5448232B2 (ja) * 2008-04-28 2014-03-19 コムコン・アーゲー 物体を前処理及びコーテイングするための装置及び方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20100078758A1 (en) * 2008-09-29 2010-04-01 Sekar Deepak C Miim diodes
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US7969011B2 (en) * 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP2010245334A (ja) 2009-04-07 2010-10-28 Renesas Electronics Corp 半導体装置の製造方法
JP5144585B2 (ja) 2009-05-08 2013-02-13 住友電気工業株式会社 半導体装置およびその製造方法
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
JP5612830B2 (ja) * 2009-05-18 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
JP5649441B2 (ja) 2009-12-29 2015-01-07 キヤノンアネルバ株式会社 金属膜を埋め込む工程を有する電子部品の製造方法
WO2011081202A1 (ja) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102453855B (zh) * 2010-10-28 2014-12-31 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
DE112012005736T5 (de) 2012-01-24 2014-10-16 Canon Anelva Corporation Herstellungsverfahren für elektrisches Bauteil und Elektrodenaufbau
US8723115B2 (en) 2012-03-27 2014-05-13 Kla-Tencor Corporation Method and apparatus for detecting buried defects
US9449788B2 (en) 2013-09-28 2016-09-20 Kla-Tencor Corporation Enhanced defect detection in electron beam inspection and review
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
JP6801200B2 (ja) 2016-03-16 2020-12-16 富士電機株式会社 炭化珪素半導体素子の製造方法
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN107394062B (zh) * 2017-07-20 2019-02-05 京东方科技集团股份有限公司 一种有机发光二极管显示面板及其制作方法、显示装置
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
DE102017219425B3 (de) * 2017-10-30 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nanostrukturierte Titan-Mehrschichtelektrode
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
CN113261075A (zh) * 2018-12-21 2021-08-13 应用材料公司 用于形成触点的处理系统和方法
US11670485B2 (en) * 2019-08-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
CN110565056B (zh) * 2019-09-19 2021-03-30 广东工业大学 一种5g金属/陶瓷复合电路板及其制备方法
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230134596A1 (en) * 2021-10-29 2023-05-04 Texas Instruments Incorporated Metal stack to improve stack thermal stability

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (fr) * 1967-06-22 1968-08-02 Alcatel Sa Perfectionnements à l'obtention de dépôts par pulvérisation cathodique
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) * 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) * 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5384684A (en) 1976-12-29 1978-07-26 Fujitsu Ltd Plasma etching device
FR2475798A1 (fr) * 1980-02-13 1981-08-14 Commissariat Energie Atomique Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
US4499655A (en) * 1981-03-18 1985-02-19 General Electric Company Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (ja) * 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> 半導体結晶上への絶縁膜の形成法
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6043481A (ja) * 1983-08-19 1985-03-08 旭硝子株式会社 スパツタリング法及びその装置
US4717632A (en) * 1983-08-22 1988-01-05 Ovonic Synthetic-Materials Company, Inc. Adhesion and composite wear resistant coating and method
FR2555362B1 (fr) * 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
JPS6164124A (ja) 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
US4663009A (en) 1985-02-08 1987-05-05 Hewlett-Packard Company System and method for depositing plural thin film layers on a substrate
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (ja) * 1985-12-25 1987-07-07 Kyocera Corp 太陽電池モジユ−ル
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
DE3632340C2 (de) * 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4853102A (en) 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPS63317675A (ja) 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (ja) * 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (ko) * 1989-10-03 1999-03-30 제임스 조셉 드롱 고주파 반도체 웨이퍼 가공장치 및 방법
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5635036A (en) 1990-01-26 1997-06-03 Varian Associates, Inc. Collimated deposition apparatus and method
DE69129081T2 (de) 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
JPH0427163A (ja) 1990-05-23 1992-01-30 Fujitsu Ltd 半導体装置およびその製造方法
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
WO1992007969A1 (en) 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
DE4200809C2 (de) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
US5242860A (en) 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
EP0551117A2 (en) * 1992-01-08 1993-07-14 Mitsubishi Denki Kabushiki Kaisha Large scale integrated circuit device and thin film forming method and apparatus for the same
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
JPH06168891A (ja) 1992-11-30 1994-06-14 Mitsubishi Electric Corp 半導体製造装置
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
JPH0824119B2 (ja) * 1993-07-07 1996-03-06 日本電気株式会社 半導体装置の製造方法
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
JPH07193025A (ja) * 1993-11-22 1995-07-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2904263B2 (ja) * 1995-12-04 1999-06-14 日本電気株式会社 スパッタ装置
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
JPH10237639A (ja) 1997-02-24 1998-09-08 Anelva Corp 集積回路用バリア膜を作成するスパッタリング装置
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002524837A (ja) * 1997-12-19 2002-08-06 アプライド マテリアルズ インコーポレイテッド 銅相互接続の電気移動耐性が改善されるように調整されたバリヤー層
JP2003203975A (ja) * 2001-12-22 2003-07-18 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
JP4657571B2 (ja) * 2001-12-22 2011-03-23 株式会社ハイニックスセミコンダクター 半導体素子の金属配線形成方法
US8278211B2 (en) 2008-07-11 2012-10-02 Canon Anelva Corporation Thin film forming method

Also Published As

Publication number Publication date
KR970072101A (ko) 1997-11-07
US6217721B1 (en) 2001-04-17
US20020089027A1 (en) 2002-07-11
US5962923A (en) 1999-10-05
EP0758148A2 (en) 1997-02-12
KR100512155B1 (ko) 2005-09-05
KR970013059A (ko) 1997-03-29
KR100442023B1 (ko) 2004-10-25
US6136095A (en) 2000-10-24
US6313027B1 (en) 2001-11-06
EP0758148A3 (en) 1999-08-18
JP2008261059A (ja) 2008-10-30
JP3193875B2 (ja) 2001-07-30
JP2001358091A (ja) 2001-12-26
KR100489916B1 (ko) 2005-09-15

Similar Documents

Publication Publication Date Title
JP3193875B2 (ja) 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
US9991157B2 (en) Method for depositing a diffusion barrier layer and a metal conductive layer
US6554914B1 (en) Passivation of copper in dual damascene metalization
US6177350B1 (en) Method for forming a multilayered aluminum-comprising structure on a substrate
US6793779B2 (en) Sputtering method for filling holes with copper
US6352926B1 (en) Structure for improving low temperature copper reflow in semiconductor features
US6297147B1 (en) Plasma treatment for ex-situ contact fill
JP2009010434A (ja) 低温で基板のステップカバレージを改良する方法及び装置
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
EP1069612A2 (en) Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
KR100501460B1 (ko) 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법
US5227337A (en) Interconnection forming method
US6448657B1 (en) Structure for reducing junction spiking through a wall surface of an overetched contact via
JPH09120991A (ja) 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JPH1074707A (ja) アルミニウム接触用チタニウム・アルミナイド湿潤層
US20030062254A1 (en) Method and apparatus for depositing a metal layer
JP2000156357A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010502

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090525

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090525

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100525

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110525

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120525

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120525

Year of fee payment: 11

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120525

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130525

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130525

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees