JP2001358091A - 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 - Google Patents

半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置

Info

Publication number
JP2001358091A
JP2001358091A JP2001113150A JP2001113150A JP2001358091A JP 2001358091 A JP2001358091 A JP 2001358091A JP 2001113150 A JP2001113150 A JP 2001113150A JP 2001113150 A JP2001113150 A JP 2001113150A JP 2001358091 A JP2001358091 A JP 2001358091A
Authority
JP
Japan
Prior art keywords
layer
substrate
sputter
aperture
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001113150A
Other languages
English (en)
Inventor
Zheng Xu
スー ゼン
John Forster
フォースター ジョン
Tse-Yong Yao
ヤウ ツェ−ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001358091A publication Critical patent/JP2001358091A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】1以上のアスペクト比を有する多層集積回路の
ビア、スルーホール又はトレンチにAl電気コンタクト
を形成する。 【解決手段】キャリア層は、好ましくは、スパッタ堆積
材料束をイオン化し、その束(フラックス)を部分的に
ガスと反応させ、得られる材料を基板上に堆積すること
により形成される層から成る。少なくとも5:1程度の
大きさのアスペクト比を有するビア、スルーホール、及
びトレンチに前述のコンタクトを形成でき、さらに約1
2:1までのアスペクト比を有するアパーチャの充填も
可能となる。高アスペクト比の伝導性コンタクトの形成
を可能にするばかりではなく、キャリア層は障壁層とし
ても機能し、それによって電気コンタクトと協同して作
用する周囲基板材料中へのアルミニウムのマイグレーシ
ョンが防止される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスの
薄膜層に形成されるコンタクト、ビア(via)及びそ
の他のパス(pass)に関し、更に薄膜層を通してパ
スを作るために、半導体又は他方式の基板上の1つ以上
の薄膜層を貫通して延びるビア、穴又はトレンチのよう
なアパーチャ(aperture、開口)のライニング
(lining)又は充填に関する。
【0002】本発明により、高アスペクト比のアパーチ
ャ(即ち、1000オングストローム程度の小ささの幅
を有し且つ幅に対する高さの比が約1:0から約12:
0であるようなアパーチャ)の充填と従来技術の方法に
比べて低い温度における堆積薄膜層の平坦化が可能とな
り、且つ、慣習的な従来技術の方法では、妥当な時間内
でボイド(void、空隙)の無い、アパーチャの充填
と得られる薄膜層の平坦化とを実行し得ないような、い
くつかの場合において、それらを可能とするものであ
る。1つの副具体例では、本発明は、キャリア層と、キ
ャリア層の構造と組成と、キャリア層を形成する方法
と、そしてキャリア層を形成する方法を実施するのに用
いる装置とに関し、それらの全てによって、集積回路デ
バイスの製造中における高アスペクト比の電気コンタク
トの充填と堆積薄膜層の平坦化が可能となる。
【0003】
【従来の技術】基板又はウェハ上における集積回路デバ
イスの製造中、薄膜層の、典型的には誘電性薄膜層の、
穴、トレンチ又はビア(即ち、アパーチャ)を充填し
て、パスを、典型的には伝導性のパスを、薄膜層を貫通
して作ることが必要となる。伝統的には、アパーチャの
充填は、予め堆積した薄膜層、典型的にはそれを通るア
パーチャを有する誘電性薄膜層、の上に充填材料の層を
堆積することによって実施されてきた。例えば、コンタ
クトは、アルミニウムのような導体を誘電性層のアパー
チャの中へ堆積させることにより誘電性層を通して作ら
れる。アパーチャを充填するのに用いる材料がその上に
堆積される材料と反応することがある場合、例えば、充
填材料がアパーチャの底部にある材料と相互拡散して望
ましくない品質の材料を生ずることがあるような場合、
充填材料が堆積される前に障壁層をアパーチャに堆積さ
せてアパーチャをライニングしなければならない。障壁
層を堆積した後、伝導性材料をその上に堆積してコンタ
クトを形成する。その後のウェハ処理を可能にするた
め、アパーチャを充填するのに用いる伝導性材料を平坦
化して、アパーチャを充填した表面上における比較的均
一な厚い充填材料層の堆積の結果生ずる、薄膜層の露出
部分の高さの顕著な変化を何れも低減しなければならな
い。
【0004】物理的気相堆積、即ち、"PVD(phy
sical vapor deposition)"
は、半導体デバイス製造において有用なアパーチャ充填
の既知法の1つである。PVDでは、堆積材料から成る
ターゲットは、プラズマにさらされてプラズマからのイ
オンによってスパッタされる。ターゲットからスパッタ
された材料は基板上に堆積する。その堆積材料は基板上
に薄膜層を形成し、且つアパーチャを充填するのにも用
いられる。平坦化された堆積薄膜層を与えるため、そし
てアパーチャの充填を確実にするため、薄膜層は伝導性
材料を"リフロー"させるために高温で堆積させることが
でき、これによってアパーチャを充填し、"平坦化した"
層、即ち、比較的平坦な上表面をもつ層を作り出すので
ある。典型的には、リフローステップは、480℃以上
のオーダの基板温度で実施する。
【0005】在来のスパッタリング法を使ってアパーチ
ャの充填は、アパーチャのアスペクト比が大きくなるに
つれ困難になってきた。穴の幅が穴の深さと等しい場
合、即ち1:1のアスペクト比では、在来のスパッタ堆
積技術によって穴の側面及び底部上にターゲット材料の
共形堆積が確保でき且つ完全なアパーチャの充填が可能
となり得る。しかしながら、1:1のアスペクト比を有
するアパーチャに対しても、より低いリフロー温度での
アパーチャ充填を実施する必要性は存在する。より高い
アスペクト比では、数値的には、約2:1以上のアスペ
クト比では、アパーチャ充填に在来のスパッタリング技
術を使うのは問題が多い。その理由は、実質的に、アパ
ーチャとスパッタの形状の結果である。ターゲットから
スパッタされたターゲット粒子は直線経路を進む故、ア
パーチャの底部と側壁の下方部分は、基板の表面に沿っ
て横方向へ進むようなスパッタ粒子からさえぎられる。
高アスペクト比のアパーチャでは、スパッタ粒子で形成
される堆積物は、アパーチャ開口部では極めて厚く、ア
パーチャ底部では極めて薄くなる傾向がある。スパッタ
された粒子の堆積が継続されるにつれ、アパーチャ開口
部に堆積する材料は、継続した堆積の間に連続して組み
立てられる。この堆積物は、ターゲット材料をアパーチ
ャ壁の底部からますます遮断することになるであろう。
最後には、アパーチャ開口部で形成される層がアパーチ
ャを完全に覆うことになり、アパーチャ中への以後の堆
積材料の堆積が妨げられる。それ故、スパッタ堆積によ
って高アスペクト比のアパーチャを充填するには、代わ
りとなる方法を用いなければならない。
【0006】高アスペクト比のアパーチャを充填するの
に用いてよいスパッタリングの1つの代替アプローチ
は、コヒーレント(干渉性)堆積であり、この場合、タ
ーゲット材料の平行にされた(collimated)
供給が、低温で、典型的には150℃以下で、基板の表
面上に堆積されて基板上に材料の"種(seed)"層を
形成する。種層を形成後、その基板を第二の、非コヒー
レントスパッタリング室へ移し、基板を約480℃又は
それ以上の温度に維持しながら、基板上へ堆積されるス
パッタ材料でアパーチャを充填する。この高温で、材料
がリフローできるように基板上に堆積されることにな
り、これにより、1)アパーチャを充填し、2)平坦化
薄膜層を生成する。リフロー技術によるアパーチャ充填
と堆積薄膜層の平坦化に要する時間は、基板温度の関数
である。基板温度が高ければ高いほど、アパーチャはよ
り速く充填され且つ薄膜層はより速く平坦化される。し
かし、基板が熱すぎると、種層が癒合して個々の小滴に
なって共形の薄膜層の形成を妨害するか、又は、先に堆
積した材料が寸法変化するか、又は、熱的に劣化するこ
とになるであろう。深さが1.2μでアスペクト比がほ
ぼ1:1のアパーチャを充填するのにリフロー技術が用
いられ、且つアパーチャ充填材料としてアルミニウムが
堆積される場合、約480℃の基板温度は、約3又は4
分でアパーチャを充填して堆積層を平坦化することがで
きる。0.5ミクロン又はそれ以下の幅のアパーチャで
は、即ち、約2:1又はそれより高いアスペクト比を有
する標準の1.2μの深さのアパーチャでは、リフロー
法はその有効性が限定される。特に、コヒーレント堆積
を用いてさえ、より高いアスペクト比の穴は時間がかか
り過ぎて、許容できるリフロー温度では充填できない可
能性がある。加えて、コリメーターが堆積材料の実質的
な量をさえぎり、よってこれは収量とスループットを減
少させることになる。
【0007】高アスペクト比のアパーチャを充填するも
う1つの既知法は、ターゲットからスパッタされる堆積
材料の少なくとも一部をイオン化してそのイオン化した
ターゲット材料を基板へ引き付けるものである。堆積材
料をイオン化し且つそれを基板の方へ電気的に引き付け
ることにより、基板に到達する堆積材料は基板に垂直に
進むことになろう。従って、堆積材料はアパーチャの底
部に達し、アパーチャの壁の上部到達部に集まることは
ないであろう。
【0008】例えば、1993年1月12日に特許され
たBarnes等の米国特許第5,178,739号
は、スパッタターゲット後部と基板との間に配置された
中空の円筒状スパッタターゲットを含み、それら全てを
真空チャンバに収容したスパッタ堆積システムを開示し
ている。複数の磁石は、円筒ターゲットの内部表面近く
に強力なプラズマ領域を作り出し、それによってターゲ
ットからスパッタされる堆積材料の少なくとも一部をイ
オン化させるために該チャンバ外部に配置される。プラ
ズマを維持させるために、RF電力がターゲットと基板
との間に配置した内部rfコイルを介して該チャンバ外
部に誘導的に結合される。イオン化したスパッタ材料の
方向とエネルギを制御するために基板は電気的にバイア
スをかけられる。スパッタ堆積システムを使って作られ
たイオンは、プラズマ外装(sheath)を横切った
後は低い拡散を有すると云われており、従って穴のよう
な高アスペクト比のアパーチャを均一に充填できると云
われている。(高アスペクト比の穴は、典型的には、
1:1以上の高さ対幅比を有する穴(ビア)であると記
述されている)。
【0009】イオン化堆積は、高アスペクト比の穴を充
填する既知方法であるが、その技術は、在来法に比べて
堆積速度が遅く、電力条件が大きく、且つその装置がよ
り高価であるために、在来のスパッタリング技術より著
しく高価になる。これらの諸制約条件にもかかわらず、
当業者に信じられていることは、極めて高いアスペクト
比の穴、即ちほぼ2:1以上の穴の充填は、イオン化堆
積材料を使うことによってのみ達成でき、且つ在来のス
パッタ堆積とリフローがその後に実施されるコヒーレン
ト堆積法のような、他の技法は、ライン幅が減少し続け
るにつれてデバイス製造者の要求を満足し得ないという
ことである。
【0010】
【発明が解決しようとする課題】本発明の目的は、伝導
性材料、典型的には金属又は金属合金で、最終的堆積物
にボイドを形成させずに狭い高アスペクト比のアパーチ
ャを充填して適当な集積回路の電気コンタクトを形成で
きるようにする手段を提供することにある。
【0011】金属又は金属合金の堆積は、好ましくは、
480℃を下回る基板温度で実行され、結果的にその処
理のための熱費が低くなる。さらにより好ましくは、金
属又は金属合金のスパッタリングは、約350℃を多少
下回る基板温度で実行し、基板温度を生ずるのに用いら
れる加熱素子の初期及び維持コスト並びにプロセスの熱
費を軽減する。これらの比較的低い温度はまた、IC製
造において、より低い熱分解又はクリープ温度を有する
が回路設計者にとって有用な材料の使用を可能にするも
のである。何故なら、金属又は金属合金の堆積工程以前
に基板上に堆積された堆積材料は、アパーチャを充填し
且つ薄膜層を平坦化するのに本願発明の諸方法が用いら
れる時に従来技術のそれらよりも低い処理温度を経験す
ることになるからである。
【0012】
【発明を解決するための手段】本願発明は、集積回路デ
バイスの製造に有用な方法、装置及び構造を提供するも
のである。1つの様相では、本発明は、薄膜層を貫通し
て延びるアパーチャ中又は基板そのものの中にコンタク
トを提供する。他の様相では、本発明は、アパーチャの
充填と平坦化により1000オングストローム程の小さ
い幅と約1:1から約12:1間の範囲のアスペクト比
とを有する集積回路の電気コンタクトを形成可能にする
コーティング層に関する。更に別の様相では、そのコー
ティング層は、アパーチャの底部又は側面を形成する材
料とアパーチャを充填するのに最終的に用いられる材料
との間の相互拡散を防止する障壁層である。詳細には、
本発明で作られる障壁層は、顕著なボイドを生じない
で、アルミニウムのような、伝導性材料を使ってのアパ
ーチャの充填を可能にするものであり、この場合、基板
温度はリフロー中は350℃ほどの低温を下回る温度に
維持される。電気コンタクトを充填するための好ましい
伝導性材料は、金属及び金属合金である。最も好ましい
金属及び金属合金には、例示のためであって限定するも
のではないが、アルミニウム、及びアルミニウムー銅、
アルミニウムー銅ーシリコン、アルミニウムーシリコ
ン、アルミニウムーゲルマニウム、アルミニウムーパラ
ジウムーシリコンなどのアルミニウム合金の同一のリフ
ロー温度範囲、即ち、その範囲内で材料が流れて平坦化
薄膜層を生成する同一の温度範囲を有するその他の伝導
性材料を含む。更に、限定はしないが、銅及び銅の合金
を含む、より高いリフロー温度を有する他の材料も、本
発明の諸技術を使ってアパーチャを充填するのに用いら
れ得るうる。本発明のキャリア層が用いられる場合、充
填アパーチャと平坦化薄膜層を生成するため、伝導性材
料を同時にスパッタし且つリフローするステップは、従
来技術に比べ、比較的低い温度で、即ち、約450℃を
下回る基板温度で、より好ましくは約430℃を下回る
温度で、そして最も好ましくは約350℃から400℃
の範囲の温度で実行され得る。アルミニウムが穴を充填
するのに用いられている伝導性材料である場合、300
℃程度の低い基板リフロー温度が考えられる。
【0013】
【作用】1態様では、キャリア層は、スパッタ堆積の方
法で形成されたものと見なしてよく、この場合、ターゲ
ットからスパッタされる材料の少なくとも一部は、それ
が基板に到達する以前にイオン化して第二の材料と反応
する。最も好ましい態様では、スパッタリングプラズマ
を生成するのに用いるガスは、ターゲットとガス原れる
ように、ターゲットからスパッタされる材料と反応す
る。
【0014】更に別の態様では、キャリア層は、ほぼ二
乗和平方根(rms)が15オングストロームより小さ
い表面粗さを有する滑らかな層と見なしてよい。
【0015】更に別の態様では、キャリア層は、その上
に堆積される材料から成る層のフロー(流れ)をその上
方で可能にすると特徴づけられ得る。
【0016】更に別の態様では、本発明は、アパーチャ
にコンタクトを形成する装置を提供し、この場合、アパ
ーチャの側壁上にキャリア層を作るために第一チャンバ
が設けられ、且つそのキャリア層上への材料の堆積用と
して追加チャンバが設けられる。1つの配置構成では、
第一チャンバはスパッタチャンバであり、これには、材
料が基板に到達する以前にターゲットを出る材料の少な
くとも一部をイオン化して、キャリア層を形成する材料
の少なくとも一部がイオン化状態で堆積されることを保
証するための装置が含まれる。
【0017】
【発明の実施の形態】I.定義 詳細な説明の前置きとして、本明細書並びに前出の特許
請求の範囲に用いられるように、名詞は、別途その前後
関係が明示されない限り、複数対象を含む、ということ
を留意すべきである。従って、例えば、用語"半導体"
は、半導体の動作特性を有することが知られている様々
な各種材料を意味し、"プラズマ"と引用する場合は、r
fグロー放電によって励起されるガスもしくはガス反応
物群が包含され、"コンタクト材質"という表現は、アル
ミニウム、アルミニウム合金群、及びここに記述した温
度範囲以上でスパッタさせることができる融点を有する
その他の伝導性材料を包含する。
【0018】本発明の記述にとって特に重要な特殊専門
用語を以下に定義する。
【0019】用語"アルミニウム"は、半導体工業におい
て代表的に用いられる種類のアルミニウムの合金を含
む。該合金には、例えば、アルミニウムー銅合金、及び
アルミニウムー銅ーシリコン合金がある。
【0020】用語"アスペクト比"(aspect ra
tio)は、電気コンタクトがその中に設けられること
になる特定の開口の最大の幅寸法に対する高さ(深さ)
寸法の比を指す。例えば、典型的に多重層を通して円筒
形状で延びるビア開口は高さと直径を有しており、その
アスペクト比は、円筒の高さを直径で割ったものにな
る。トレンチのアスペクト比は、トレンチの開口部の所
のトレンチの幅でトレンチの高さを割ったものとなる。
【0021】用語"伝統的スパッタリング"は、基板上に
薄膜層を形成する1つの方法であって、この場合、ター
ゲットをスパッタしそしてターゲットから放出される材
料をターゲットと基板間に通過させて基板上に薄膜層を
形成するもので、且つ材料が基板に到達する以前にター
ゲットから放出されるターゲット材料の実質的部分をイ
オン化する手段が何ら設けられない方法を指す。伝統的
スパッタリングを実施できるよう構成された装置の1つ
が米国特許第5,320,728号に開示されており、
その開示を参考として本明細書に引用する。前述の伝統
的スパッタリング構成では、イオン化されるターゲット
材料の割合は、ターゲットからスパッタされるものの1
0%未満、より典型的には1%未満である。
【0022】II.具体例序文 本発明に従い、アパーチャが1:1又はそれ以上のアス
ペクト比を有する場合、且つアパーチャにコンタクト又
はビアを形成するために在来技術の480℃又はそれ以
上のオーダの(基板の)リフロー温度を実質的に下回る
リフロー温度で導体の堆積が実施される場合において、
伝統的な、即ち、非イオン化、非コヒーレント堆積技術
を使って、導体を、特にアルミニウム(及びアルミニウ
ム合金)を薄膜層又は基板中の(トレンチ、ビア又はス
ルーホールのような)アパーチャ中に堆積させ得ること
が明らかにされた。このことは、導体が堆積される以前
に高アスペクト比のアパーチャの壁の上にキャリア層を
形成することにより可能となる。このキャリア層はアパ
ーチャを充填するのに用いられる堆積材料のフロー又は
移動を高め、よって、従来技術のスパッタ及びリフロー
技術では妥当な時間で充填できなかったアパーチャに在
来のスパッタ及びリフロー技術を用いてアパーチャの充
填を可能にするものである、ということを出願人は知得
した。事実、これは、4分の間で390℃の基板リフロ
ー温度でほぼ5.0のアスペクト比を有する僅かに0.
25μ幅(底部で0.15μ)のアパーチャにおいて達
成されたもので、これは在来のスパッタリング技術では
実行できないとこれまで考えられていたことである。特
に、本発明は、キャリア層の上にアルミニウムのスパッ
タ堆積を施して高アスペクト比の穴にコンタクトを形成
し、よってアパーチャにコンタクトを作ることを可能に
するもので、これらは在来のスパッタリング技術を使っ
て充填するには非実用的でありもしくは不可能であると
思われたものであり、又、スパッタされた伝導性材料束
がイオン化され次いで基板の方へ電気的に引き付けられ
る場合だけ充填できると考えられていたものである。本
発明には、キャリア層で可能になるような高アスペクト
比のアパーチャに形成されたコンタクトと、キャリア層
を形成する処理を含むコンタクト形成処理法と、更にコ
ンタクトとキャリア層とを作るのに用いられる装置とが
包含される。
【0023】III.具体例 本発明は、薄膜層におけるアパーチャにコンタクト、ビ
ア又は他の構造を設けるために新規な装置と新規な方法
とを用いるものである。その方法と装置は、高アスペク
ト比の穴に、コリメーターを必要とせず、またイオン化
状態のアパーチャ充填材料(典型的には、導体)を供給
する必要もなく、コンタクト、ビア又は他の類似構造を
設けるのに用いることができる。その方法と装置はま
た、その上に堆積される充填材料をもってアパーチャの
側壁上に堆積されるキャリア層をも与える。1つの特定
の具体例では、材料がターゲットからスパッタされ、且
つそれが基板上に堆積される以前に、少なくとも部分的
にイオン化される(10乃至100%のイオン化)、キ
ャリア層はイオン化堆積技術を使って堆積される。好ま
しくは、キャリア層の一部は非反応ターゲット材料から
構成され且つキャリア層の残部は、基板上に堆積される
以前に、既にガスと反応させられたターゲット材料から
構成される。出願人が見い出したことは、該キャリア層
は、従来技術で用いられたそれらより低いリフロー温度
で、且つ平行化した種層を必要とせずに、高アスペクト
比の穴の充填を容易化するということである。
【0024】A.代表的アパーチャ コンタクトを形成するためにここに記述された諸技術を
使って充填されるビア、トレンチ又は穴のようなアパー
チャの説明として、図1はキャリア層100を含むアパ
ーチャ113の略図を示す。例としての図のアパーチャ
113は、シリコンベース100上の二酸化シリコン薄
膜層111を通して形成された。アパーチャ113は、
二酸化シリコン薄膜層111を通してシリコンベース1
10までドライエッチングにより作られたを。
【0025】B.本発明のコンタクト 最初に図1を参照して説明すると、そこには、高アスペ
クト比のアパーチャ113に、特に約5:1(1.2μ
高さで.25μ幅)のアスペクト比を有するアパーチャ
113に形成された好例のコンタクト118が示されて
いる。コンタクトには少なくとも次の2つの副要素が含
まれる。即ちキャリア層;及びキャリア層が堆積された
後に残っているアパーチャ容積部分を充填するためにキ
ャリア層上に堆積された伝導性材料である。ここに記述
したコンタクトの具体例では、キャリア層は、ターゲッ
トからの材料をスパッタし、それを基板上に堆積し、ス
パッタした材料の一部をそれが基板上に堆積される以前
にターゲットと基板間の空間に維持されているガスとを
反応させ、そしてまた、ターゲットからスパッタされた
材料の少なくとも一部をそれが基板上に堆積される以前
にイオン化することにより形成される。典型的コンタク
トの伝導性材料は、低温にてキャリア層上に種層を堆積
させ、その後続いて同一チャンバで伝導性材料の堆積を
行い、一方、同時に行うリフロープロセスは、4分間3
90℃の基板温度で加熱することにより実行されるが、
伝導性材料を含むターゲットの伝統的スパッタリングで
生成した。
【0026】図1に示したコンタクトに関する特定の具
体例では、アルミニウムが伝導性材料として使われ、伝
統的スパッタリング技術を用いてキャリア層上に堆積さ
れる。コンタクトを形成するため、アパーチャは下層に
ある基板領域まで延びて、そのベース111の所でシリ
コン基板の露出部分を包含する。シリコンとアルミニウ
ム間の相互拡散を防ぐため、キャリア層も障壁層として
機能する。コンタクトの具体例では、障壁層は、もし望
まれるなら、単一のチャンバで形成されてよい3つの下
層から作る。第一下層112は、二酸化シリコン層11
1とシリコンベース110の両表面上に堆積される以前
にターゲットからスパッタされそして部分的にイオン化
(10乃至100%イオン化)されたチタンの下層であ
り、第二の下層114は、第一下層112上に堆積され
る以前に部分的にイオン化され且つ窒素と反応して窒化
チタンを生成するスパッタされたチタンの層であり、そ
して第三下層116は、スパッタされたチタンと部分的
イオン化状態(10乃至100%イオン化)で堆積され
た窒化チタンとの両方から構成される層である。チタン
層112を堆積後、ケイ化チタンの薄層124は導体と
下層基板との間に障壁層を設けるために高温アニーリン
グでビア113の底面に形成され得る。しかし、本発明
のキャリア層は、ケイ化チタンを生成させるためにキャ
リア層をアニールしなくても障壁層として働くことにな
ろう。
【0027】キャリア層は、いったん堆積されると、伝
導性材料が充填できるようアパーチャ内部に内部容積部
分117を残して、約800オングストロームの厚さを
もつ共形層を与える。コンタクト100の残余容積部分
117は、次いで、2分間390℃から10分間350
℃の順で比較的低い基板温度でリフロー処理を行いなが
ら、伝統的な、即ち、非イオン化スパッタアルミニウム
(又はアルミニウム合金)堆積操作によって充填され
る。極めて高いアスペクト比のアパーチャは、導体のス
パッタ源のイオン化堆積によってのみ充填し得るという
従来技術の提言とは反対に、出願人は、ここに記述した
ようにキャリア層を用いれば、在来のスパッタ技術によ
りアパーチャ充填材料を堆積し且つ高アスペクト比のア
パーチャ中に流し込んでコンタクト、ビア等を形成でき
るということを見い出した。それ故、このキャリア層を
採用することにより、従来の方法でスパッタしたアルミ
ニウム層を用いてアパーチャの残余容積を充填してコン
タクトを作ってもよい。スパッタしたアルミニウムのイ
オン化堆積に要する装置が、スパッタ材料の標準的な、
即ち、伝統的な堆積に要するそれよりはるかに高価であ
るという理由から、この処理は望ましいものである。更
に、キャリア又は障壁層によって、従来技術のそれらよ
り実質的に低いリフロー温度でアパーチャ中に従来法で
スパッタしたアルミニウム層の堆積が可能となる。
【0028】C.本発明のキャリア層 1.キャリア層の1具体例 本発明のキャリア層は、コンタクトに関して上述したよ
うに、障壁層として用いてよく、又は、障壁層が不要な
場合に導体のような充填材料で高アスペクト比のアパー
チャの充填を促進するのに用いてもよい。何れの場合に
おいても、キャリア層により、従来法に比べて低い温度
のリフロー処理において及び/又はより速い充填・平坦
化時間で高アスペクト比を有するアパーチャを充填材料
で充填することが可能となる。キャリア層は、多くの方
法で特色付けてよい。特性に関する何れかの特性を満足
するキャリア層により、従来法に比べて低い温度と速い
リフロー時間で高アスペクト比のアパーチャの充填が可
能となると考えられる。1つの特性では、キャリア層
は、滑らかな層、即ち、従来法と比べて低い表面粗さを
有する露出表面をもつ層である。該平滑層の1つは、稠
密円柱障壁層材料の構造を含み、この場合、円柱は、ア
パーチャ壁に対して実質的に垂直に配置される。別の特
性において、キャリア層はぬれ効果を有する。即ち、そ
の上の材料、アルミニウム及びその合金を含む特定材料
のフローを助長する。更に別の特性において、キャリア
層は、スパッタ粒子のイオン化され、部分的に反応性の
堆積という処理によってアパーチャの壁面と底部とに材
料を堆積させることにより形成されるもので、即ち、こ
こでは、ターゲットからスパッタされる材料は少なくと
も一部分イオン化され、部分的にガスと反応し、そして
そのイオン化され部分的に反応した材料は、基板支持体
上に印加された電界の維持による等の方法で、基板の方
へ向けられる。
【0029】ビア、トレンチ、及びスルーホールのよう
なアパーチャをライニングするための、本発明のキャリ
ア層構造の1具体例は、イオン化されスパッタされた耐
熱性金属の層を基板上に堆積し、続いて基板上に耐熱性
金属化合物の第二の層を堆積させるためスパッタされた
耐熱性金属を反応雰囲気においてイオン化堆積し、続い
て耐熱性金属化合物を生成させ且つイオン化された耐熱
性金属によって部分的に基板上に第三の層を形成するた
め予めガスと反応させられたイオン化された耐熱性金属
から部分的に構成される層を堆積することにより、達成
されるものである。第三の層は、ターゲットがスパッタ
される時に、ターゲットと基板間の領域にスパッタされ
た耐熱性金属と反応するガスを維持することによって生
成された、ある割合の耐熱性金属化合物を含有してよ
く、好ましくは、第三層において生成された最高濃度の
耐熱性金属化合物を第二層に隣り合わせ、結果的に、第
三層の外表面の方へ(化合物の濃度の少ない)耐熱性金
属の濃度を増やす。この第三層の組成をもたらす既知法
の1つは、チャンバを有毒化(poisoned)モー
ドに維持することである。即ち、この場合、反応性ガス
の量が、スパッタされた材料とガスとの間の完全な反応
を確保するのに要する量を超え、そしてスパッタリング
を継続させるためにアルゴン(又は他の非反応性種)の
供給を維持しながら反応性ガスの供給を遮断することで
ある。残留している反応性ガスはスパッタされた材料と
反応し、その結果、有効量の反応性ガスは、化合物を生
成するのに使われるので、減少することになる。好まし
くは、ガスをプラズマ状態に保持する電力は、全ての反
応性ガスが反応する前に切られ、よって、キャリア層の
最外表面は、純粋な形のスパッタ材料と、スパッタ材料
と反応性ガスから成る化合物とを両方とも含む。キャリ
ア層が堆積されてしまえば、電気コンタクト、即ち、一
般には金属化層は、ターゲットからのアルミニウムのよ
うな伝導性材料のスパッタリングにより及び在来のスパ
ッタリングとリフロー技術を使ってそれを平滑な障壁層
構造の第三の層の上に堆積することによって得ることが
できる。図1のキャリア層の具体例では、キャリア層
は、3つの層:Ti/TiN/TiNxを含む。制限無
しでTaNとTaを含む、他の耐熱性金属及び耐熱性金
属化合物によって本発明の諸利点を実現できる、という
ことは出願人も信じているところである。加えて、本発
明の好ましい実施では、キャリア層が多重の下層を含
み、この場合、各下層は同一の金属ベースから構成され
ること、即ち、TiがTi/TiN/TiNxのベース
金属であることが想定されているが、多重レベルのキャ
リア層の個々の層は、各層のベース金属として異なった
材料から構成されてもよいと出願人は思う。多層キャリ
ア層を形成するのに、Tiのような、単一ベース金属を
使用する主な利点の1つは、キャリア層全体を単一チャ
ンバにおいて連続処理で堆積できる、ということであ
る。
【0030】例示したコンタクト118のキャリア又は
障壁層は3つの異なった下層を設けられているが、最外
層はまさしく、即ち特定例においてTiとTiNから形
成されたTiNx層(xは、その層のTiNの重量によ
る割合を反映する)、又はTiN層はまさしく、本発明
の高度のアパーチャ充填特性を実現できるものと出願人
は思う。
【0031】2.キャリア層の特性 キャリア層の1つの特性はその微細構造にあることを出
願人は見い出した。標準の反応性スパッタリング法を使
って生成された耐熱性金属又は金属合金の微細構造のた
め、リフロー操作を受けるスパッタアルミニウムが高ア
スペクト比のアパーチャ中へ難なく流れ込みそしてそれ
を充填することはない。このことは、従来法でスパッタ
された耐熱性金属化合物の表面形状並びにぬれ性の欠如
に起因するものと思われる。しかし、本発明のキャリア
層の1つの特性は、比較的滑らかな表面であり、そのオ
ングストローム単位の表面粗さは、従来技術の、伝統的
にスパッタされた障壁層(約40−50オングストロー
ム)のほぼ三分の一(約15オングストローム)であ
る。この比較的平滑な層によって、従来の伝統的にスパ
ッタした障壁層と比較して、より低い温度で、且つ集積
回路製造の時間的制約の範囲内で、即ち、ほぼ5分で、
高アスペクト比の穴を充填することが可能となることが
見い出された。事実、本発明のキャリア層は、アスペク
ト比が5:1で幅が約2500オングストロームのアパ
ーチャのアルミニウム導体による充填を可能にしてお
り、これは、従来法に従う限り妥当な時間内では不可能
なことである。これらの諸性質を有するアパーチャ中に
伝統的スパッタリング法を使ってアルミニウムをスパッ
タ堆積させると、そのスパッタしたアルミニウムは許容
し難いボイドで一杯になった。そのボイドを除去するた
めにアルミニウムをリフローしようと試みたが、高温に
おいてすら成功しなかった。
【0032】キャリア層の別の特性は、その方位がアパ
ーチャ壁に垂直な全体に縦のグレン構造を有する、堆積
材料の複数の稠密結晶性又は顆粒状堆積物のそれであ
る。キャリア層が伝統的スパッタリング法を使って堆積
される場合における従来技術の構造では、同様のグレン
又は結晶性構造が形成されるが、それらの方位はアパー
チャ壁に垂直ではなく、且つその端面は上方に面し一連
の鋸歯エッジを生ずる。これらのエッジが、従来技術で
は、アパーチャ壁の下方への導体のフローを阻止するも
のと思われる。
【0033】キャリア層の更に別の特性としては、それ
によって、そこを通過する導体材料のフローを助長する
ぬれ層が形成されることである。特に、その層は、そこ
を通過する導体材料のフローを助長して、アパーチャの
ボイドフリー堆積を可能にするものと出願人は考える。
【0034】これらの諸特性の各々は、本明細書に記述
したようなイオン化堆積技法を使って3層、Ti/Ti
N/TiNx層を形成することにより実現できるもので
ある。
【0035】D.方法 本発明のコンタクト構造は、先ず、キャリア層をアパー
チャの壁及び底部上に堆積し、その後、伝統的スパッタ
技術を使って充填材料を堆積させ且つアパーチャ充填の
ためその材料をリフローしてアパーチャを充填すること
により、ビア、スルーホース、又はトレンチのようなア
パーチャ内部に作られる。アパーチャをライニングする
のに用いられるキャリア層は、イオン化堆積法によっ
て、好ましくは、スパッタ材料束をイオン化することに
より生成される。キャリア層は、好ましくは、イオン化
スパッタした耐熱性金属の少なくとも1層から構成さ
れ、それは、部分的にガスと反応して薄膜層(100オ
ングストローム又はそれ未満)の状態で耐熱性金属と耐
熱性金属化合物とから成る薄膜層を形成する。議論上の
目的で、従ってそれによって制限されるものではない
が、本発明は、ここでは、耐熱性金属としてチタンを且
つ耐熱性金属化合物として窒化チタンを用いて説明す
る。1具体例では、その構造は、図1に示し且つ上述し
た方式の3層構造である。
【0036】先に述べたように、キャリア層を形成する
ためイオン化スパッタしたチタンの堆積によって、この
場合は、イオン化スパッタしたチタンの一部が窒素と反
応することになるが、従来技術の障壁層からみて比較的
滑らかな表面を有する表面がアパーチャ内に作られ、こ
れによってその後スパッタされるアルミニウムで障壁層
の表面を濡らし且つその表面上を流すことができる。事
実、驚いたことには、アルミニウムは、側壁の表面上を
滑り続けるように流れて、最初にスパッタしたアルミニ
ム内部に捕捉されたボイドを何れも、従来技術のリフロ
ー温度を著しく下回る温度で、徐々に充填する。TiN
x層又はTiN層は、それだけでここで記述したリフロ
ー及び充填の増進を来すと思うが、出願人は、最も好ま
しいキャリア層は、Tiのベース層と、その上のTiN
層と、更に最終のTiNx層から構成されると考える。
【0037】上述の諸方法は、図5に示すような種類の
低圧処理システム500において特に都合よく実施され
るものである。平滑な障壁層構造の付加操作は、図2に
示す構造を有する)1つの個別低圧チャンバ510で実
施され、一方、アルミニウムのスパッタリングは、(図
2に示すチャンバと類似構造であるが、コイル214が
無く且つ基板空間により接近してターゲットを有し、且
つ基板と支持体部材間にガスを保持した基板支持体と接
触して電気ヒータのような基板加熱用装置を有する)別
の個別低圧チャンバ512で実施され、その後の処理
は、更に別の個別低圧チャンバ513で実行される。本
発明を実施するための支持体部材のヒータとガス流の構
成の具体例の1つは、米国特許第5,228,501号
に開示されており、ここに参考として十分編入されてい
る。中央低圧チャンバ514は、個々の低圧チャンバを
連結するもので、1つの個別低圧チャンバから他への製
作品(ワークピース)の搬送には、ロボット(表示せ
ず)が用いられる。
【0038】本発明のキャリア層に関する1つの製造法
には、スパッタ材料の束を供給することと、スパッタ材
料をイオン化することと、スパッタ材料の束の一部分を
ガスと反応させてそれが基板上に堆積される以前に化合
物を生成することと、基板支持部材上にバイアスをかけ
てイオンを基板に引き付けて同時にスパッタ材料を堆積
することと、その後で充填材料を基板上に堆積し且つ充
填材料をリフローしてアパーチャを充填すること、から
成る諸ステップが含まれる。好ましくは、充填材料は2
段階で堆積する、充填材料の種層をほぼ150℃を下回
る温度で堆積し、そして残りの充填材料は、基板を高温
の"リフロー"温度に加熱し、その後その温度に維持しな
がら、堆積する。キャリア層の堆積は、第一チャンバで
実施し、そして充填材料の堆積は、追加チャンバで実施
する。
【0039】図1に示すようなキャリア層の3層構造を
実現するためには、好ましくは、3つの下層全ては、単
一チャンバ中で連続処理で堆積する。これは、チタン素
地のキャリア層の場合、チタンターゲットをスパッタリ
ングすることと、チタンの少なくとも一部分(10%か
ら100%)をそれが基板上に堆積される以前にイオン
化することと、イオン化したターゲット材料を基板の方
へ引き付け且つそれをもって第一の下層を形成すること
と、その後、スパッタリングとイオン化が継続するよう
に十分な量の反応ガス、好ましくは窒素、をチャンバ中
へ導入して、それによってターゲットからスパッタされ
る材料の全てをガスと反応させて基板上にTiNの複合
薄膜層を形成することと、次いで、ベースターゲット、
好ましくは、Tiと、反応生成物、好ましくは、TiN
との両方から構成される薄膜層を基板上に形成するため
に、そのままターゲットをスパッタリングし且つそのス
パッタターゲット材料をイオン化しながら、チャンバへ
の反応ガスのフローを停止すること、から成る諸ステッ
プを実施することにより達成してよい。いったんTi/
TiNの下層(以後TiNx)が十分な厚さまで形成さ
れてしまえば、システムへの電力を切ってスパッタリン
グ処理を停止する。
【0040】適当な組成と厚みをもつキャリア層を基板
上に堆積した後、基板を在来のスパッタリングチャンバ
へ移し、ここで、従来型のスパッタ堆積及びリフロー技
術を使って充填材料をキャリア層上に堆積するが、低温
での種層の形成それに続く高温でのリフローは、単一チ
ャンバで実施してよい。
【0041】E.発明を実施するための装置 本発明の方法をその中で実施してよい処理システムは、
Applied Materials社(Santa
Clara,California)の5500型集中
処理システム(Integrated Process
ingSystem)である。この処理システムは、図
には特に示さないが、図2に示すその処理要素は、該集
中処理システム内部に含まれている1つの低圧処理チャ
ンバの中で操作できるものである。そのシステムはま
た、米国特許第5,186,718号と5,236,8
68号に提示・説明されており、その開示は参考として
ここに引用する。図2を参照して、本発明の平滑障壁層
を形成するための1つの低圧処理チャンバには、(スパ
ッタリング用プラズマを閉じ込めてスパッタリング速度
を増すための)スパッタ磁石210と、約24kW未満
の電力値で動作するスパッタリングターゲットカソード
212が採用されている。特殊な、Ti素地のキャリア
層を形成するためのチタンのターゲットカソードは、直
径14インチ(35.5cm)であり、このカソードに
約3kWから約5kWまでの範囲にわたってDC電力を
印加した。直径8インチ(20.3cm)のシリコンウ
ェハから成る基板をターゲットカソード212から約5
インチ(12.7cm)の距離を離して配置した。高密
度の、誘導結合のrfプラズマは、rf電力213を約
1MHzから13.6MHzまでの範囲にわたってター
ゲットと基板間のプラズマ領域を取り囲んでいる直径
0.125インチ(0.32cm)の水冷式金属配管2
14の(好ましくは4巻きを越える)多重巻きコイルに
印加することにより、ターゲットカソード212と基板
218間の領域に発生させた。50〜800kHzのオ
ーダの、比較的低周波数のバイアスを基板218又は支
持部材に印加する。生ずるセルフバイアスによって、プ
ラズマからのイオンが基板の方へ引き付けられる。
【0042】キャリア層を形成するための好ましい装置
は、プラズマを誘導結合し且つスパッタ材料をイオン化
するのにコイルを使用するが、材料をイオン化するため
に他の装置も考えられる。例えば、米国特許第4,91
1,814号に提示・説明され、ここに参考として引用
したような、ECR源、又は米国特許第4,990,2
29号に提示・説明され、ここに参考として引用したよ
うな、ヘリコン方式の連結装置もとりわけ想定されるも
のである。同様に、10から100%のイオン化比率を
有する堆積粒子のイオン化した流れを供給するであろう
ところの他の装置も、発明実施に有用であると考えられ
る。
【0043】
【実施例】IV.実施例 A.実施例 A イオン化させ、部分的に背景ガスと反応させた窒化
チタン/チタン層について、直径8インチ(20.3c
m)の基板の表面上で、分当たり約300オングストロ
ームという堆積速度を得るために、2MHzのrf電力
1.5KWをコイル214に印加し、一方、5KWのD
C電力をチタンターゲットカソード212へ加え、且つ
350kHzのACバイアス90Wを基板プラテン電極
220に加え、その結果、70VのD.C.バイアスを
生じた。スパッタ材料のスパッタリングとイオン化は、
チャンバ圧力が約20mTから約30mTの範囲の処理
チャンバで実施した。分当たり約300オングストロー
ムの堆積速度を得るために、スパッタ材料のスパッタリ
ングとイオン化は、約30mTで実施した。この圧力
は、Applied Materials社の5500
型集中処理システムにおいて約10sccmのアルゴン
送込み速度及び70sccmの窒素送込み速度に対応し
た。処理チャンバにおける基板の温度は、約50℃であ
った。
【0044】B.実施例 直径8インチ(20.3cm)の基板の表面上で、イオ
ン化チタンの分当たり約1200オングストロームとい
う堆積速度を得るために、2MHzのrf電力1.5K
Wをコイル214に印加し、一方、5KWのDC電力を
チタンターゲットカソード212へ加え、且つ350k
Hzで120Wの低周波数バイアスを基板プラテン電極
220に加え、その結果、45VのD.C.バイアスを
生じた。スパッタリングとイオン化は、チャンバ圧力が
約20mTから約30mTアルゴンの範囲の処理チャン
バで実施した。分当たり約1200オングストロームの
堆積速度を得るために、スパッタリングとイオン化は、
約20mTで実施した。この圧力は、Applied
Materials社の5500型集中処理システムに
おいて約45sccmのアルゴン送込み速度に対応し
た。処理チャンバにおける基板の温度は、約50℃であ
った。
【0045】V.キャリア層のステップカバレージ 図3は、印加電力と処理チャンバの圧力の関数として、
イオン堆積式スパッタ化チタン及び窒化チタンに関して
達成されたボトムカバレージ(bottomcover
age)の%を示すものである。パーセントのボトムカ
バレージは、スルーホールの底面におけるチタン又はそ
の結果生じた窒化チタンのイオン化スパッタ堆積の厚さ
をスルーホールを囲んでいる基板の上表面上に堆積され
た材料の厚さのパーセントとして指すものである。従っ
て、もしボトムカバレージが50%なら、スルーホール
底面における層の厚さは、基板の上表面上の層厚の50
%である。スパッタ粒子のイオン化堆積法を使って得ら
れるボトムカバレージは、標準のスパッタリング法を使
って達成されるものより10倍以上大きいことが立証さ
れている。ボトムカバレージのこの著しい上昇は、スル
ーホールの壁の平滑度の驚くべき上昇を伴い、これによ
ってアルミニウムは予想外の容易さで壁の上を流れるこ
とができ、最終のコンタクト構造にボイド領域が存在し
ないホールの急速充填を実現できるのである。
【0046】図3に提示したデータは、スルーホールの
底面の所で0.25μの直径を有し且つアスペクト比が
約5:1(5.0)のスルーホールに関するものであ
る。印加した電力の作用は、ターゲットカソードのDC
電力に対する誘導コイルのRF電力の比として計算し、
その比に支持電極のDCバイアスを掛けた。
【0047】VI.0.25ミクロンのアパーチャ用処
理ウインドウ 図4は、約5のアスペクト比を有する直径0.25μの
スルーホールのアルミニウム充填を実現できるアルミニ
ウムスパッタリングについての時間温度条件を示す。ス
ルーホールは、シリコンウェハ基板上に堆積させた二酸
化シリコン層約1.2μ厚をドライエッチングして作製
した。次いで、本発明の3層Ti/TiN/Tixのイ
オン化スパッタ材料構造体を(下層のシリコン基板を含
む)スルーホール上に加えた。
【0048】図4に表したスルーホールは、スルーホー
ルを含んでいる基板の表面上に加えられた厚さ約200
オングストローム堆積のイオン化スパッタ材料の第一層
でライニングした。典型的には、ホール底面でのチタン
層の厚さは約140オングストロームで、これはスルー
ホールを囲んでいる基板の上表面上の200オングスト
ローム厚の堆積の約70%であった(ボトムカバレージ
は約70%であった)。続いて、窒化チタンの第二層約
800オングストロームをイオン化させ且つ窒素と反応
させた後チタン層の表面上に堆積した。典型的には、窒
化チタン層の厚さは、約540オングストロームで、こ
れは基板の上表面上の800オングストローム厚の堆積
の約65−70%であった。最後に、前述のイオン化堆
積法と反応性処理法を使って、チタンの第三層を窒化チ
タン層の表面上に堆積した。典型的には、ホール底面で
の第三層の厚さは約60オングストロームで、これは基
板の上表面上のチタン層の約60%であった。第三層の
形成は、同一の処理チャンバで、第二層形成用窒素ガス
流の終止直後に開始されたので、第三層は、重量で約1
0%の窒化チタンを包含しており、これは、主に、第三
層の最初に形成された部分の方に集中していた。好まし
くは、チタン層の全ては、同一チャンバで順次堆積させ
る。本発明の構造体の好ましい具体例に習ってライニン
グしたスルーホールは、次いで、従来法でスパッタした
アルミニウムで充填した。詳細には、アルミニウムは、
約0.5%の銅と約99.5%のアルミニウムから成る
ターゲットからスパッタさせ、結果的に銅の存在がアル
ミニウム薄膜のエレクトロマイグレーションに対する抵
抗性を高めた。最初に、約2,000オングストローム
厚のコールド・スパッタアルミニウムを3層構造上に付
加した。約150℃以下の基板温度で付加したこのコー
ルド・スパッタアルミニウム層は、3層構造のチタン層
にうまく付着する"種"層をもたらすものである。次い
で、アルミニウムのコンタクト塊(バルク)を図4のグ
ラフ上で特定した温度でスパッタリングを実施して堆積
した。アルミニウムは、熟練した当業者に周知の在来法
を使って、同一のターゲットから且つ基板支持体をその
ターゲットからコールド堆積法の場合と同じ距離に保持
しながらスパッタさせた。D.C.電力は、図4で特定
した時間で8000オングストローム層を与えるよう調
整した。スパッタリングは、約0.5mTから約2mT
までのアルゴン圧力範囲にわたって処理チャンバで実施
した。これは、Applied Materials社
の5500型集中処理システムにおいて約35sccm
のアルゴン送込み速度に対応した。
【0049】図4のグラフ上のチェック領域410は、
従来技術の障壁形成法を使ってスパッタアルミニウムを
金属化処理中に付加し得る条件範囲を表すものである。
これらの条件は、いくつかの用途には有用であるが、
0.25μの幅と1以上のアスペクト比を有するスルー
ホールの充填を可能にするものではない。図4のグラフ
上の(チェック領域410の下層にある領域を含む)斜
線領域412は、0.25μの幅と5.0のアスペクト
比を有するスルーホールの充填を達成するために、アル
ミニウムを本発明の平滑な障壁層上にスパッタし得る条
件範囲を表すものである。有効な低温処理ウインドウに
よって、アルミニウムのスパッタリング処理中の電力消
費を軽減させ、且つリフローでコンタクトを充填するの
に使ってよい有効な材料の拡大ができるよう、基板加熱
に比較的長寿命の低温加熱素子を使って温度を下げるこ
とは可能である。
【0050】断面走査電子顕微鏡法を使って、スルーホ
ールが充填され且つボイドフリーが達成されたことを確
認。
【0051】VII.諸代替法 本発明の最も好ましい具体例では、導体層は、低温にお
いて導体材料の"種"層をスパッタ堆積させ、且つその後
高温においてアパーチャの残り部分をスパッタ堆積導体
で充填することにより与えられたが、アパーチャの充填
は、基板をその直径より遠くターゲットから離して行う
長行程スパッタリング法と、それに続く、通常のスパッ
タ堆積法、即ち、ターゲット対基板の間隔2乃至5cm
におけるスパッタターゲット材料のイオン化堆積又はそ
の他の方法によるような、他の手段で実現してもよい。
しかし、ここに記述したようなイオン化スパッタ材料の
堆積で施されるライニングは、最大の利点、即ち、導体
が在来のスパッタリングで与えられる場合のホール充填
の可能性の大幅な改善をもたらすことを発明者は見い出
した。特に、イオン化スパッタリングによって実施され
たライニングにより、従来のスパッタリング法でアパー
チャの充填が可能となり、それは、典型的に、イオン化
スパッタリング法又は長行程スパッタリング法のそれの
少なくとも2倍の堆積速度を有するものである。それ
故、発明は、図5のラインに沿ってクラスタ・ツールを
設けることにより最大利点まで利用でき、この場合、少
なくとも1つの堆積チャンバはキャリア層を生成し、他
のチャンバ群が導体材料を与える。コンタクトとキャリ
ア層、コンタクトとキャリア層を形成する装置、及びコ
ンタクトとキャリア層を形成する方法は全て、物理的蒸
気堆積法によるキャリア層の生成に関連して記述されて
いるが、本明細書に記述した諸方法を使って作ったキャ
リア層の1つ以上の特性を有するキャリア層は、何れ
も、伝統的スパッタリング法による高アスペクト比のホ
ールの充填を可能にする上で有用であることを、出願人
は提起するものである。従って、本発明のキャリア層並
びにコンタクトは、そのキャリア層がここに記述した1
つ以上の性質又は特性を有する場合、キャリア層又はキ
ャリア層上のスパッタ堆積コンタクトの何れをも包含す
るものと、広義に解釈されるべきである。
【0052】上述の好ましい具体例は、本発明の範囲を
限定しようとするものではなく、本開示の観点において
熟練した当業者は、前出の発明の請求範囲の主題の内容
に対応すべく前述の諸具体例を拡大してよい。
【図面の簡単な説明】
【図1】本発明の方法と装置を使って、高アスペクト比
のビア113の内部に形成した伝導性コンタクト118
の略図である。
【図2】発明を実施する上で有用なスパッタリング装置
200の略図である。
【図3】図1に示したような方式のビアのボトムカバレ
ージのパーセントをグラフ的に図解した図である。ここ
で用いるボトムカバレージのパーセントは、ビアの上方
最上面上に堆積された層の厚さの%としてビアの底表面
上に堆積された層の厚さを指す。ボトムカバレージのパ
ーセントは、スパッタリングチャンバの圧力の関数とし
て、及びDC電力(図2で213)xDCバイアス(図
2で222)に対するrf電力(図2で216)の比の
関数として示される。
【図4】スルーホールが、本発明の3層の最も好ましい
具体例のキャリア層構造(Ti/TiN/TiNx)で
予めライニングされた場合における、約5のアスペクト
比を有する直径0.25μのスルーホールのアルミニウ
ム充填を実現できるアルミニウムスパッタリングについ
ての時間温度条件の範囲をグラフ的に示した図である。
【図5】システム内に複数の個別低圧処理チャンバを有
するマルチチャンバ式低圧処理システムの略図を示した
図である。集積回路デバイスがその上に形成されること
になる基板から成る製作物(ワークピース)は、低圧環
境に収容したまま1つの個別チャンバからロボットを使
用して(図示しない)他へ移すことができる。
【符号の説明】
110…シリコンベース、111…二酸化シリコン層、
112…第一下層、113…アパーチャ、114…第二
下層、116…第三下層、200…スパッタリング装
置、210…スパッタ磁石、212…ターゲットカソー
ド、213…rf電力、214…コイル水冷式金属配
管、218…基板、220…基板プラテン電極、500
…低圧処理システム、510、512、513…個別低
圧チャンバ、514…中央低圧チャンバ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ゼン スー アメリカ合衆国, カリフォルニア州, フォスター シティー, ハドソン ベイ ストリート 279 (72)発明者 ジョン フォースター アメリカ合衆国, カリフォルニア州, サン フランシスコ, ハラム ストリー ト 41 (72)発明者 ツェ−ヤン ヤウ アメリカ合衆国, カリフォルニア州, サニーヴェール, ヴィセント ドライヴ 1243 ナンバー78 Fターム(参考) 4M104 AA01 BB14 BB38 CC01 DD37 DD39 DD42 DD78 FF16 FF22 HH13 5F033 HH08 HH09 HH18 HH33 JJ08 JJ09 JJ18 JJ33 KK01 LL09 MM08 MM13 NN06 NN07 PP15 PP16 PP18 PP22 PP23 QQ73 QQ75 XX05 XX12 5F103 AA08 BB14 DD28 HH03 NN06 PP11

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上の薄膜層のアパーチャを充
    填するための装置において、 a) 前記アパーチャ表面の上に共形の薄膜層を形成す
    るイオン化スパッタ堆積材料を供給するスパッタチャン
    バであって、スパッタ堆積材料ソースと、ガス供給部
    と、基板位置決め部材と、前記スパッタ堆積材料に衝突
    させるために使用する活性種を生産する第1のプラズマ
    発生ソースと、第二の誘導結合RFプラズマ発生ソース
    と、を備え、該第二の誘導結合RFプラズマ発生ソース
    は、前記スパッタ堆積材料ソースと前記基板の間に位置
    する該第二のプラズマ発生ソースによって発生されたプ
    ラズマを通過する、スパッタ堆積材料をイオン化するた
    めに使用されるスパッタチャンバと、 b) 前記スパッタチャンバ内で前記アパーチャ上に形
    成された、前記共形の薄膜層の上に堆積するための材料
    ソースを提供する堆積チャンバと、 c) 前記スパッタチャンバと前記堆積チャンバを相互
    接続している移送チャンバと、を備える装置。
  2. 【請求項2】 前記スパッタチャンバが、前記基板に前
    記イオン化スパッタ堆積材料を堆積する前に、少なくと
    も前記イオン化スパッタ堆積材料の一部と反応させるた
    めにガスを前記スパッタチャンバに選択的に導入する反
    応ガスインレットを更に含む、請求項1記載の装置。
  3. 【請求項3】 前記スパッタ堆積チャンバが、 少なくとも1つのスパッタターゲットと、ガス入口と、
    前記スパッタ堆積材料を提供するために前記ターゲット
    に衝突する、前記第1のプラズマに前記ガスインレット
    から供給されるガスを変換するためのエネルギを供給す
    るためのパワー源と、を含む請求項2記載の装置。
  4. 【請求項4】 前記スパッタ堆積チャンバが、 前記反応ガスインレットの少なくとも開口及び閉鎖をす
    るためのバルブと、前記ターゲットのスパッタリング中
    に前記バルブを選択的に開閉するために連結されるシス
    テムコントローラと、を更に含む請求項3記載の装置。
  5. 【請求項5】 前記バルブは、前記ターゲットがスパッ
    タされると、閉位置、次に開位置、そして次にまた閉位
    置で支持することができるバルブである請求項4記載の
    装置。
  6. 【請求項6】 前記スパッタ堆積チャンバでの前記スパ
    ッタリングターゲットは、高融点金属を含む請求項5記
    載の装置。
  7. 【請求項7】 前記スパッタ堆積チャンバでの前記スパ
    ッタリングターゲットは、チタンを含む請求項6記載の
    装置。
  8. 【請求項8】 窒素を提供するためのガス供給部を含む
    請求項7記載の装置。
  9. 【請求項9】 前記第二のプラズマ発生ソースは、前記
    スパッタ堆積チャンバでプラズマ形成領域に延びた少な
    くとも一巻の導体を含む請求項8記載の装置。
  10. 【請求項10】 基板バイアス電圧を提供するために前
    記スパッタ堆積チャンバで前記陽極に適用される電源を
    含む請求項1記載の装置。
JP2001113150A 1995-08-07 2001-04-11 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 Pending JP2001358091A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/511,825 US5962923A (en) 1995-08-07 1995-08-07 Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US08/511825 1996-04-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP20863696A Division JP3193875B2 (ja) 1995-08-07 1996-08-07 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008183118A Division JP2008261059A (ja) 1995-08-07 2008-07-14 半導体基板上の薄膜層のアパーチャのための充填装置

Publications (1)

Publication Number Publication Date
JP2001358091A true JP2001358091A (ja) 2001-12-26

Family

ID=24036608

Family Applications (3)

Application Number Title Priority Date Filing Date
JP20863696A Expired - Fee Related JP3193875B2 (ja) 1995-08-07 1996-08-07 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
JP2001113150A Pending JP2001358091A (ja) 1995-08-07 2001-04-11 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
JP2008183118A Pending JP2008261059A (ja) 1995-08-07 2008-07-14 半導体基板上の薄膜層のアパーチャのための充填装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP20863696A Expired - Fee Related JP3193875B2 (ja) 1995-08-07 1996-08-07 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008183118A Pending JP2008261059A (ja) 1995-08-07 2008-07-14 半導体基板上の薄膜層のアパーチャのための充填装置

Country Status (4)

Country Link
US (5) US5962923A (ja)
EP (1) EP0758148A3 (ja)
JP (3) JP3193875B2 (ja)
KR (3) KR100489916B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012043478A1 (ja) * 2010-09-28 2012-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US8278210B2 (en) 2009-04-07 2012-10-02 Renesas Electronics Corporation Manufacturing method of semiconductor device
US9177813B2 (en) 2009-05-18 2015-11-03 Renesas Electronics Corporation Manufacturing method of semiconductor device
CN110565056A (zh) * 2019-09-19 2019-12-13 广东工业大学 一种5g金属/陶瓷复合电路板及其制备方法

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2891161B2 (ja) * 1996-02-15 1999-05-17 日本電気株式会社 配線形成方法
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW402778B (en) * 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
TW460597B (en) 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
US5925225A (en) * 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
KR100480572B1 (ko) * 1997-11-13 2005-09-30 삼성전자주식회사 알루미늄배선층형성방법
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
JP3399814B2 (ja) * 1997-11-27 2003-04-21 科学技術振興事業団 微細突起構造体の製造方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JP3735461B2 (ja) * 1998-03-27 2006-01-18 株式会社シンクロン 複合金属の化合物薄膜形成方法及びその薄膜形成装置
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6187673B1 (en) * 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
KR100672101B1 (ko) 1999-01-08 2007-01-19 어플라이드 머티어리얼스, 인코포레이티드 개선된 피처 표면 커버리지를 향상시키는 구리 시드층을증착시키는 방법
TW426953B (en) * 1999-01-22 2001-03-21 United Microelectronics Corp Method of producing metal plug
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
DE19922557B4 (de) * 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
DE10014917B4 (de) * 2000-03-17 2004-12-02 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20050181600A1 (en) * 2000-06-30 2005-08-18 Hynix Semiconductor, Inc. Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6376281B1 (en) * 2000-10-27 2002-04-23 Honeywell International, Inc. Physical vapor deposition target/backing plate assemblies
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
US6688584B2 (en) * 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6746950B2 (en) * 2001-11-14 2004-06-08 Vitesse Semiconductor Corporation Low temperature aluminum planarization process
US20030091739A1 (en) * 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
KR100440261B1 (ko) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
DE10208787B4 (de) * 2002-02-28 2007-04-26 Infineon Technologies Ag Verfahren zum Füllen von teilweise bauchigen tiefen Gräben oder tiefen Gräben mit einer Flankensteilheit von 90° oder größer und dieses verwendende Verfahren zur Herstellung von MOS-Feldeffekttransistoren und IGBTs
DE10214065B4 (de) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
DE10219115A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6948231B2 (en) * 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040112735A1 (en) * 2002-12-17 2004-06-17 Applied Materials, Inc. Pulsed magnetron for sputter deposition
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
KR100467783B1 (ko) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
EP1433740A1 (en) * 2002-12-24 2004-06-30 Interuniversitair Microelektronica Centrum Vzw Method for the closure of openings in a film
KR100688761B1 (ko) * 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 반도체의 금속배선 형성방법
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US7253092B2 (en) * 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
EP2213763A3 (en) * 2003-08-11 2010-08-18 Honeywell International Inc. Target/backing plate constructions, and methods of forming target/backing plate constructions
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US20050181177A1 (en) * 2004-02-18 2005-08-18 Jamie Knapp Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
KR100594276B1 (ko) * 2004-05-25 2006-06-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
DE102005023670B4 (de) * 2004-05-25 2007-12-27 Samsung Electronics Co., Ltd., Suwon Verfahren zum Ausbilden von Metall-Nitrid-Schichten in Kontaktöffnungen und integrierte Schaltung mit derart ausgebildeten Schichten
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
TWI397972B (zh) * 2005-08-26 2013-06-01 Hitachi Ltd Semiconductor device manufacturing method
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
DE112005003768A5 (de) * 2005-12-09 2009-02-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dünnfilmwiderstand mit Schichtstruktur und Verfahren zur Herstllunng eines Dünnfilmwiderstands mit Schichtstruktur
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070243708A1 (en) * 2006-04-12 2007-10-18 Jens Hahn Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
US20090077506A1 (en) * 2007-05-16 2009-03-19 Eugene Anikin Simultaneous Multi-Layer Fill Generation
US8069814B2 (en) 2006-05-04 2011-12-06 Advanced Cardiovascular Systems, Inc. Stent support devices
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
EP1947210A1 (fr) * 2007-01-16 2008-07-23 ARCELOR France Procede de revetement d'un substrat, installation de mise en oeuvre du procede et dispositif d'alimentation en metal d'une telle installation
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US9812299B2 (en) * 2008-04-28 2017-11-07 Cemecon Ag Apparatus and method for pretreating and coating bodies
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
US20100078758A1 (en) * 2008-09-29 2010-04-01 Sekar Deepak C Miim diodes
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US7969011B2 (en) * 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP5144585B2 (ja) 2009-05-08 2013-02-13 住友電気工業株式会社 半導体装置およびその製造方法
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
WO2011081202A1 (ja) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
JP5649441B2 (ja) 2009-12-29 2015-01-07 キヤノンアネルバ株式会社 金属膜を埋め込む工程を有する電子部品の製造方法
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
CN102453855B (zh) * 2010-10-28 2014-12-31 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
DE112012005736T5 (de) 2012-01-24 2014-10-16 Canon Anelva Corporation Herstellungsverfahren für elektrisches Bauteil und Elektrodenaufbau
US8723115B2 (en) 2012-03-27 2014-05-13 Kla-Tencor Corporation Method and apparatus for detecting buried defects
US9449788B2 (en) 2013-09-28 2016-09-20 Kla-Tencor Corporation Enhanced defect detection in electron beam inspection and review
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
JP6801200B2 (ja) * 2016-03-16 2020-12-16 富士電機株式会社 炭化珪素半導体素子の製造方法
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN107394062B (zh) * 2017-07-20 2019-02-05 京东方科技集团股份有限公司 一种有机发光二极管显示面板及其制作方法、显示装置
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
DE102017219425B3 (de) * 2017-10-30 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nanostrukturierte Titan-Mehrschichtelektrode
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
JP7277585B2 (ja) * 2018-12-21 2023-05-19 アプライド マテリアルズ インコーポレイテッド 処理システム及び接点を形成する方法
US11670485B2 (en) * 2019-08-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230134596A1 (en) * 2021-10-29 2023-05-04 Texas Instruments Incorporated Metal stack to improve stack thermal stability

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (fr) * 1967-06-22 1968-08-02 Alcatel Sa Perfectionnements à l'obtention de dépôts par pulvérisation cathodique
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) * 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) * 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5384684A (en) 1976-12-29 1978-07-26 Fujitsu Ltd Plasma etching device
FR2475798A1 (fr) * 1980-02-13 1981-08-14 Commissariat Energie Atomique Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
US4499655A (en) * 1981-03-18 1985-02-19 General Electric Company Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (ja) * 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> 半導体結晶上への絶縁膜の形成法
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6043481A (ja) * 1983-08-19 1985-03-08 旭硝子株式会社 スパツタリング法及びその装置
US4717632A (en) * 1983-08-22 1988-01-05 Ovonic Synthetic-Materials Company, Inc. Adhesion and composite wear resistant coating and method
FR2555362B1 (fr) * 1983-11-17 1990-04-20 France Etat Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma
JPS6164124A (ja) 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
US4663009A (en) 1985-02-08 1987-05-05 Hewlett-Packard Company System and method for depositing plural thin film layers on a substrate
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (ja) * 1985-12-25 1987-07-07 Kyocera Corp 太陽電池モジユ−ル
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
DE3632340C2 (de) * 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4853102A (en) 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPS63317675A (ja) 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (ja) * 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (ko) * 1989-10-03 1999-03-30 제임스 조셉 드롱 고주파 반도체 웨이퍼 가공장치 및 방법
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5635036A (en) 1990-01-26 1997-06-03 Varian Associates, Inc. Collimated deposition apparatus and method
DE69129081T2 (de) 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
JPH0427163A (ja) 1990-05-23 1992-01-30 Fujitsu Ltd 半導体装置およびその製造方法
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
JPH0816266B2 (ja) 1990-10-31 1996-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 高アスペクト比の穴に材料を付着させる装置
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
DE4200809C2 (de) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
US5242860A (en) 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
KR0126457B1 (ko) * 1992-01-08 1997-12-26 기타오카 다카시 집적회로, 그 제조방법 및 그 박막형성장치
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
JPH06168891A (ja) 1992-11-30 1994-06-14 Mitsubishi Electric Corp 半導体製造装置
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
JPH0824119B2 (ja) * 1993-07-07 1996-03-06 日本電気株式会社 半導体装置の製造方法
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
JPH07193025A (ja) * 1993-11-22 1995-07-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08107087A (ja) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2904263B2 (ja) * 1995-12-04 1999-06-14 日本電気株式会社 スパッタ装置
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
JPH10237639A (ja) 1997-02-24 1998-09-08 Anelva Corp 集積回路用バリア膜を作成するスパッタリング装置
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8278210B2 (en) 2009-04-07 2012-10-02 Renesas Electronics Corporation Manufacturing method of semiconductor device
US9177813B2 (en) 2009-05-18 2015-11-03 Renesas Electronics Corporation Manufacturing method of semiconductor device
WO2012043478A1 (ja) * 2010-09-28 2012-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2012074522A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd 成膜方法及び成膜装置
CN110565056A (zh) * 2019-09-19 2019-12-13 广东工业大学 一种5g金属/陶瓷复合电路板及其制备方法

Also Published As

Publication number Publication date
JP2008261059A (ja) 2008-10-30
KR970072101A (ko) 1997-11-07
US6217721B1 (en) 2001-04-17
US6136095A (en) 2000-10-24
JP3193875B2 (ja) 2001-07-30
EP0758148A3 (en) 1999-08-18
US5962923A (en) 1999-10-05
JPH09162293A (ja) 1997-06-20
KR100512155B1 (ko) 2005-09-05
US20020089027A1 (en) 2002-07-11
US6313027B1 (en) 2001-11-06
EP0758148A2 (en) 1997-02-12
KR970013059A (ko) 1997-03-29
KR100489916B1 (ko) 2005-09-15
KR100442023B1 (ko) 2004-10-25

Similar Documents

Publication Publication Date Title
JP3193875B2 (ja) 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
US9991157B2 (en) Method for depositing a diffusion barrier layer and a metal conductive layer
US6238533B1 (en) Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US6420260B1 (en) Ti/Tinx underlayer which enables a highly &lt;111&gt; oriented aluminum interconnect
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
EP1069612A2 (en) Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
JP2004531053A (ja) 銅バイアにおける高抵抗バリア原子薄層
JP2009010434A (ja) 低温で基板のステップカバレージを改良する方法及び装置
US6066358A (en) Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
KR100501460B1 (ko) 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법
US6448657B1 (en) Structure for reducing junction spiking through a wall surface of an overetched contact via
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
JPH09120991A (ja) 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
US20030062254A1 (en) Method and apparatus for depositing a metal layer
JP2000156357A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070926

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080612

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080930