TWI713117B - 製作金屬閘極結構的方法 - Google Patents

製作金屬閘極結構的方法 Download PDF

Info

Publication number
TWI713117B
TWI713117B TW106100269A TW106100269A TWI713117B TW I713117 B TWI713117 B TW I713117B TW 106100269 A TW106100269 A TW 106100269A TW 106100269 A TW106100269 A TW 106100269A TW I713117 B TWI713117 B TW I713117B
Authority
TW
Taiwan
Prior art keywords
layer
oxygen
gate structure
metal gate
manufacturing
Prior art date
Application number
TW106100269A
Other languages
English (en)
Other versions
TW201826397A (zh
Inventor
陳紹平
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW106100269A priority Critical patent/TWI713117B/zh
Priority to US15/412,066 priority patent/US10043669B2/en
Publication of TW201826397A publication Critical patent/TW201826397A/zh
Application granted granted Critical
Publication of TWI713117B publication Critical patent/TWI713117B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/515Insulating materials associated therewith with cavities, e.g. containing a gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本發明提供一種金屬閘極結構的製作方法,包括下列步驟。首先,提供基底。然後,於基底上形成高介電常數介電層。接著,於高介電常數介電層上形成含氧氮化鈦層。隨後,於含氧氮化鈦層上形成非晶矽層,並進行退火製程,以將含氧氮化鈦層中的氧驅使至高介電常數介電層中。

Description

製作金屬閘極結構的方法
本發明係關於一種製作金屬閘極結構的方法,尤指一種製作減緩負偏壓溫度不穩定性(negative bias temperature instability,NBTI)之金屬閘極結構的方法。
於傳統半導體技術中,多晶矽係作為閘極填充材料而廣泛地應用於半導體元件如金屬氧化物半導體(metal-oxide-semiconductor,MOS)電晶體中。隨著MOS電晶體尺寸持續地微縮,傳統多晶矽閘極因硼穿透(boron penetration)效應導致元件效能降低,及其難以避免的空乏效應(depletion effect)等問題,使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。因此,半導體業界更嘗試以新的閘極填充材料如功函數(work function)金屬搭配新的高介電常數(high-K,以下簡稱HK)介電層來避免上述問題。
然而,當半導體技術急速微縮到奈米等級時,HK介電層的厚度越來越薄,使得功函數金屬閘極結構也逐漸達到其物理與電性限制,因此負偏壓溫度不穩定性的可靠度問題越來越嚴重,進而導致半導體元件的壽命縮短。
本發明的目的在於提出一種金屬閘極結構的製作方法,以減緩負偏 壓溫度不穩定性,並提升元件壽命。
本發明一實施例提供一種金屬閘極結構的製作方法,包括下列步驟。首先,提供基底。然後,於基底上形成HK介電層。接著,於HK介電層上形成含氧氮化鈦層。隨後,於含氧氮化鈦層上形成非晶矽層,並進行退火製程,以將含氧氮化鈦層中的氧驅使至HK介電層中。
本發明的製作方法透過將含氧氮化鈦層中的氧分子驅使至HK介電層與非晶矽層中,以降低含氧氮化鈦層的含氧量,並提升HK介電層的含氧量,藉此可同時提升含氧氮化鈦層與HK介電層的品質,進而減緩負偏壓溫度不穩定性,以延長金屬閘極結構的壽命。
100:金屬閘極結構
102:基底
104:氧化層
106:高介電常數介電層
108:氮化鈦層
108a:含氧氮化鈦層
110:非晶矽層
112:氮化鉭層
114:金屬電極層
SP:間隙壁
ES:蝕刻停止層
ILD:層間介電層
R:凹槽
P:孔隙
SD:源極/汲極
CL:蓋層
C1:第一反應室
C2:第二反應室
第1圖至第7圖,其繪示本發明一實施例的金屬閘極結構的製作方法示意圖。
請參考第1圖至第7圖,其繪示本發明一實施例的金屬閘極結構的製作方法示意圖,其中第2圖至第6圖分別繪示對應第1圖的區域A的不同步驟。如第1圖所示,首先,提供基底102,其可包含矽基底、含矽基底、三五族覆矽基底(例如GaN-on-silicon)、石墨烯覆矽基底(graphene-on-silicon)或矽覆絕緣(silicon-on-insulator,SOI)基底等半導體基底。於本實施例中,基底102上可形成有虛置閘極(dummy gate)、間隙壁SP、蝕刻停止層ES與層間介電層ILD。舉例而言,基底102可包括鰭狀結構,但不限於此。移除位於間隙壁SP之間的虛置閘極之後,間隙壁SP之間可具有凹槽R,暴露出基底102。虛置閘極可包括多晶矽或非晶矽。並且,間隙壁SP兩側的基底102中可設置有源極/汲極SD,例如先於間隙壁 SP兩側的基底102形成凹槽,再於凹槽中形成的磊晶層如矽鍺、矽碳或矽磷磊晶層,或者於間隙壁SP兩側的基底102中形成的摻雜區。接著,可透過熱氧化製程或化學沉積製程,於凹槽R中的基底102上形成氧化層104。氧化層104可例如為氧化矽。於另一實施例中,氧化層104也可以在形成凹槽R之前形成。
然後,進行沉積製程,於基底102上形成HK介電層106。具體而言,HK介電層106係均勻地覆蓋於層間介電層ILD上,並形成於氧化層104上且覆蓋凹槽R的側壁。舉例而言,HK介電層106可為一含金屬介電層,其可包含有鉿(Hafnium)氧化物、鋯(Zirconium)氧化物,但本發明不以此為限。更進一步而言,HK介電層可選自氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、氧化鉭(tantalum oxide,Ta2O5)、氧化釔(yttrium oxide,Y2O3)、氧化鋯(zirconium oxide,ZrO2)、鈦酸鍶(strontium titanate oxide,SrTiO3)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、鋯酸鉿(hafnium zirconium oxide,HfZrO4)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)與鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)所組成之群組。在本實施例中,HK介電層106可為單一層結構。在另一實施例中,HK介電層106亦可為一多層結構。於又一實施例中,以氧化鉿層為例,HK介電層106可再經由氮化製程等,而被氮化為矽酸鉿氮氧(hafnium silicon oxynitride,HfSiON)層,以增加介電常數。
如第2圖所示,於形成HK介電層106之後,進行沉積製程,於HK介電層106上形成含氧氮化鈦層108a。具體而言,將形成有氧化層104與HK介電層106的基底102設置於第一反應室C1中,使基底102位於第一密閉環境中。舉例而言,第一密閉環境可例如接近真空或真空的低壓環境,但不限於此。然後,於第一密閉環境中,進行沉積製程,於HK介電層106上形成氮化鈦層108。由於氮化鈦 層108具有柱狀的晶粒結構,因此在氮化鈦層108的晶粒邊界之間會有晶格缺陷,而包含有複數個孔隙P。此時,氮化鈦層108的孔隙P中尚未有氧。
接下來,如第3圖所示,移除第一密閉環境,將氧填入氮化鈦層108中,進而形成含氧氮化鈦層108a。具體而言,將氧填入氮化鈦層108中的步驟可透過將形成有氮化鈦層108的基底102暴露於含氧的環境中,也就是將氮化鈦層108設置於與第一密閉環境不同的環境中(ex-situ),使氧進入氮化鈦層108中。舉例而言,可破除第一密閉環境,將基底102暴露在空氣中,或者在不破除真空的情況下將基底102設置於通入氧氣的密閉環境中,因此在此步驟中,氧係填入氮化鈦層108的孔隙P中。
隨後,如第4圖所示,將形成有含氧氮化鈦層108a的基底102設置於第二反應室C2中,使基底102位於第二密閉環境中。然後,進行沉積製程,於含氧氮化鈦層108a上形成非晶矽層110,使非晶矽層110覆蓋含氧氮化鈦層108a。由於非晶矽層110覆蓋含氧氮化鈦層108a,因此含氧氮化鈦層108a的氧可被密封在非晶矽層110與HK介電層106之間。第二反應室C2與第一反應室C1可位於同一機台或不同機台中。
接著,如第5圖所示,進行退火製程(annealing process),將含氧氮化鈦層108a的孔隙P中的氧驅使至HK介電層106中,且同時孔隙P中的氧亦會被驅使至位於含氧氮化鈦層108a上的非晶矽層110中。值得說明的是,由於形成氮化鈦層108之後會破真空,使得空氣中的氧分子會進入孔隙P中,影響氮化鈦層108的品質,因此本實施例透過於形成氮化鈦層108之後形成非晶矽層110並進行退火製程,以將含氧氮化鈦層中的氧分子驅使至HK介電層106與非晶矽層110中,如此,不僅可降低含氧氮化鈦層108a的含氧量,還可提升HK介電層106的含氧量,藉此可同時提升含氧氮化鈦層108a與HK介電層106的品質。除此之外,退火製程亦可將含氧氮化鈦層108a中的氧進一步驅使至氧化層104中,如此亦可提升氧化層104 的品質,以減少介面捕捉(interface trap),並降低電洞捕捉(hole trap)。透過將氧驅使至作為閘極絕緣層的HK介電層106與氧化層104中,可改善其膜層品質與穩定性,因此在不改變厚度的情況下,閘極絕緣層的穩定度可提升,進而減緩負偏壓溫度不穩定性,並延長壽命。於本實施例中,退火製程可進行於無氧的第三密閉環境中。舉例而言,第三密閉環境可通入有氮氣或氬氣。並且,退火製程的持續時間可為10秒至5分鐘之間。
於本實施例中,為使含氧氮化鈦層108a中大部分的氧在退火製程中可朝HK介電層106與氧化層104移動,進而大幅地改善其膜層品質,非晶矽層110的厚度可小於含氧氮化鈦層108的厚度的3倍,或非晶矽層110的厚度可小於30埃,以透過薄化非晶矽層110的厚度,促使大部分的氧朝HK介電層106與氧化層104移動。舉例而言,含氧氮化鈦層108的厚度可介於11.7與14.3埃之間,HK介電層106的厚度可介於17至19埃。以HK介電層106為氧化鋡為例,當非晶矽層110的厚度為50埃時,HK介電層106中的含氮成分與含氧成分的百分比分別為28.76%與71.24%,而當非晶矽層110的厚度降低為30埃時,HK介電層106中的含氮成分與含氧成分的百分比分別為17.91%與82.09%。由此可知,降低非晶矽層110的厚度可有效地將氧驅使至HK介電層106與氧化層104移動,以提升膜層品質。於另一實施例中,退火製程的溫度可大於930℃,較佳地可大於970℃。
然後,如第6圖所示,於退火製程之後,移除非晶矽層110。具體而言,在將部分的氧驅使至非晶矽層110之後,可透過將含氧的非晶矽層110移除,以避免其中的氧分子在後續製程中再回到含氧氮化鈦層108a中。
隨後,如第7圖所示,於含氧氮化鈦層108a上依序形成氮化鉭層112與金屬電極層114,以填滿凹槽R。然後,進行平坦化製程以及回蝕刻製程,以移除位於凹槽R外的HK介電層106、含氧氮化鈦層108a、氮化鉭層112以及金屬電極層114以及位於凹槽R內的一部分HK介電層106、含氧氮化鈦層108a、氮化鉭層 112與金屬電極層114。隨後於凹槽R中填滿蓋層CL,以形成金屬閘極結構100。本實施例的製作方法係為後置HK介電層之後閘極製程(gate-last for high-k last),但本發明不以此為限。於另一實施例中,HK介電層亦可於形成凹槽之前形成,亦即本發明亦可適用於前置HK介電層之後閘極製程(gate-last for high-k first)。
由上述可知,本發明的製作方法透過於形成氮化鈦層之後形成非晶矽層並進行退火製程,以將含氧氮化鈦層中的氧分子驅使至HK介電層與非晶矽層中,不僅可降低含氧氮化鈦層的含氧量,還可提升HK介電層的含氧量,藉此可同時提升含氧氮化鈦層與HK介電層的品質,進而增加閘極絕緣層的穩定度,並減緩負偏壓溫度不穩定性,以延長金屬閘極結構的壽命。以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
102:基底
104:氧化層
106:高介電常數介電層
108a:含氧氮化鈦層
110:非晶矽層
P:孔隙

Claims (12)

  1. 一種金屬閘極結構的製作方法,包括:提供一基底;於該基底上形成一高介電常數(high-K)介電層;於該基底上形成一虛置閘極以及兩個間隙壁,其中該虛置閘極設置於該等間隙壁之間;移除該虛置閘極,以於該等間隙壁之間形成一凹槽;於該高介電常數介電層以及於該凹槽中上形成一含氧氮化鈦層;於該含氧氮化鈦層上形成一非晶矽層;以及在形成該非晶矽層之後,進行一退火製程,以將該含氧氮化鈦層中的氧驅使至該高介電常數介電層與該非晶矽層中,其中該退火製程進行於無氧的一密閉環境中。
  2. 如請求項1所述的金屬閘極結構的製作方法,其中提供該基底另包括於該基底上提供一氧化層,且該退火製程將該含氧氮化鈦層中的氧驅使至該氧化層中。
  3. 如請求項1所述的金屬閘極結構的製作方法,其中形成該含氧氮化鈦層包括:於另一密閉環境中於該高介電常數介電層上形成一氮化鈦層;以及移除該另一密閉環境,將氧填入該氮化鈦層,以形成該含氧氮化鈦層。
  4. 如請求項3所述的金屬閘極結構的製作方法,其中該氮化鈦層包括複數個孔隙,且將氧填入該氮化鈦層包括將氧填入該等孔隙。
  5. 如請求項4所述的金屬閘極結構的製作方法,其中該退火製程將該等孔隙中的氧驅使至該高介電常數介電層與該非晶矽層中。
  6. 如請求項3所述的金屬閘極結構的製作方法,其中將氧填入該氮化鈦層中包括將該氮化層暴露於含氧的環境中。
  7. 如請求項1所述的金屬閘極結構的製作方法,其中該非晶矽層的厚度小於30埃。
  8. 如請求項1所述的金屬閘極結構的製作方法,其中該退火製程的溫度大於930℃。
  9. 如請求項1所述的金屬閘極結構的製作方法,其中該密閉環境通入有氮氣或氬氣。
  10. 如請求項1所述的金屬閘極結構的製作方法,其中該退火製程的持續時間為10秒至5分鐘之間。
  11. 如請求項1所述的金屬閘極結構的製作方法,另包括於該退火製程之後移除該非晶矽層。
  12. 如請求項11所述的金屬閘極結構的製作方法,另包括於該含氧氮化鈦層上依序形成一氮化鉭層與一金屬電極層。
TW106100269A 2017-01-05 2017-01-05 製作金屬閘極結構的方法 TWI713117B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW106100269A TWI713117B (zh) 2017-01-05 2017-01-05 製作金屬閘極結構的方法
US15/412,066 US10043669B2 (en) 2017-01-05 2017-01-23 Method for fabricating metal gate structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106100269A TWI713117B (zh) 2017-01-05 2017-01-05 製作金屬閘極結構的方法

Publications (2)

Publication Number Publication Date
TW201826397A TW201826397A (zh) 2018-07-16
TWI713117B true TWI713117B (zh) 2020-12-11

Family

ID=62708448

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100269A TWI713117B (zh) 2017-01-05 2017-01-05 製作金屬閘極結構的方法

Country Status (2)

Country Link
US (1) US10043669B2 (zh)
TW (1) TWI713117B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11062900B2 (en) * 2018-12-04 2021-07-13 Applied Materials, Inc. Method of reducing effective oxide thickness in a semiconductor structure
US11164789B1 (en) * 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604140A (en) * 1995-05-22 1997-02-18 Lg Semicon, Co. Ltd. Method for forming fine titanium nitride film and method for fabricating semiconductor element using the same
US20110127590A1 (en) * 2009-11-30 2011-06-02 Robert Binder Increasing stability of a high-k gate dielectric of a high-k gate stack by an oxygen rich titanium nitride cap layer

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3294041B2 (ja) * 1994-02-21 2002-06-17 株式会社東芝 半導体装置
JP3989027B2 (ja) * 1994-07-12 2007-10-10 テキサス インスツルメンツ インコーポレイテツド キャパシタ及びその製造方法
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6054331A (en) * 1997-01-15 2000-04-25 Tong Yang Cement Corporation Apparatus and methods of depositing a platinum film with anti-oxidizing function over a substrate
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020072209A1 (en) * 2000-12-11 2002-06-13 Vanguard International Semiconductor Corporation Method of forming tungsten nitride layer as metal diffusion barrier in gate structure of MOSFET device
JP4811895B2 (ja) * 2001-05-02 2011-11-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2005175143A (ja) * 2003-12-10 2005-06-30 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US7074680B2 (en) 2004-09-07 2006-07-11 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
JP5513767B2 (ja) * 2008-06-25 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
US7989321B2 (en) * 2008-08-21 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device gate structure including a gettering layer
US8643113B2 (en) * 2008-11-21 2014-02-04 Texas Instruments Incorporated Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
US20100155860A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Two step method to create a gate electrode using a physical vapor deposited layer and a chemical vapor deposited layer
US7838908B2 (en) * 2009-01-26 2010-11-23 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture
DE102009010846B4 (de) * 2009-02-27 2013-08-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen einer Gateelektrodenstruktur mit großem ε zum Erhöhen deren Integrität durch Einschluss einer Metalldeckschicht nach der Abscheidung
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US8536654B2 (en) * 2010-01-13 2013-09-17 Texas Instruments Incorporated Structure and method for dual work function metal gate CMOS with selective capping
US8629014B2 (en) * 2010-09-20 2014-01-14 International Business Machines Corporation Replacement metal gate structures for effective work function control
US8546211B2 (en) * 2010-11-17 2013-10-01 International Business Machines Corporation Replacement gate having work function at valence band edge
US20120280288A1 (en) * 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US8664103B2 (en) * 2011-06-07 2014-03-04 Globalfoundries Inc. Metal gate stack formation for replacement gate technology
US9165826B2 (en) * 2011-08-01 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device comprising titanium silicon oxynitride
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8802579B2 (en) * 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
JP5852459B2 (ja) * 2012-02-10 2016-02-03 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8753931B2 (en) * 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
US9006094B2 (en) * 2012-04-18 2015-04-14 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
US20140015068A1 (en) * 2012-07-16 2014-01-16 Hong Yang Gate Structure, Semiconductor Device and Methods for Forming the Same
US20140099785A1 (en) * 2012-10-04 2014-04-10 Intermolecular, Inc. Sacrificial Low Work Function Cap Layer
US8865581B2 (en) * 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9059089B2 (en) * 2013-02-28 2015-06-16 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US8981332B2 (en) * 2013-03-15 2015-03-17 Intermolecular, Inc. Nonvolatile resistive memory element with an oxygen-gettering layer
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
US9653300B2 (en) * 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9349823B2 (en) * 2013-11-14 2016-05-24 GlobalFoundries, Inc. Methods of scaling thickness of a gate dielectric structure, methods of forming an integrated circuit, and integrated circuits
US9590065B2 (en) * 2013-12-04 2017-03-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with metal gate structure comprising work-function metal layer and work-fuction adjustment layer
US9269785B2 (en) * 2014-01-27 2016-02-23 Globalfoundries Inc. Semiconductor device with ferroelectric hafnium oxide and method for forming semiconductor device
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9312145B2 (en) * 2014-03-07 2016-04-12 Globalfoundries Inc. Conformal nitridation of one or more fin-type transistor layers
JP2016072454A (ja) * 2014-09-30 2016-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9570578B2 (en) * 2015-02-11 2017-02-14 United Microelectronics Corp. Gate and gate forming process
US20160268388A1 (en) * 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Non-volatile memory device and method for manufacturing same
KR102342850B1 (ko) * 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자의 제조를 위한 유전체층의 큐어링 방법
US9418853B1 (en) * 2015-04-21 2016-08-16 United Microelectronics Corp. Method for forming a stacked layer structure
US9685383B2 (en) * 2015-05-13 2017-06-20 United Microelectronics Corp. Method of forming semiconductor device
CN106298527B (zh) * 2015-06-01 2019-07-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
US9356125B1 (en) * 2015-07-28 2016-05-31 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9502307B1 (en) * 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
TWI667698B (zh) * 2016-01-12 2019-08-01 聯華電子股份有限公司 半導體元件及其形成方法
US9818746B2 (en) * 2016-01-13 2017-11-14 International Business Machines Corporation Structure and method to suppress work function effect by patterning boundary proximity in replacement metal gate
US9583400B1 (en) * 2016-01-15 2017-02-28 International Business Machines Corporation Gate stack with tunable work function
US9559016B1 (en) * 2016-01-15 2017-01-31 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US9859169B2 (en) * 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
US20170222026A1 (en) * 2016-02-03 2017-08-03 United Microelectronics Corp. Method of fabricating fin field effect transistor
TWI714583B (zh) * 2016-05-16 2021-01-01 聯華電子股份有限公司 半導體裝置及其形成方法
CN107591437B (zh) * 2016-07-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9741720B1 (en) * 2016-07-26 2017-08-22 Globalfoundries Inc. Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices
TWI700799B (zh) * 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604140A (en) * 1995-05-22 1997-02-18 Lg Semicon, Co. Ltd. Method for forming fine titanium nitride film and method for fabricating semiconductor element using the same
US20110127590A1 (en) * 2009-11-30 2011-06-02 Robert Binder Increasing stability of a high-k gate dielectric of a high-k gate stack by an oxygen rich titanium nitride cap layer

Also Published As

Publication number Publication date
TW201826397A (zh) 2018-07-16
US20180190499A1 (en) 2018-07-05
US10043669B2 (en) 2018-08-07

Similar Documents

Publication Publication Date Title
US8987096B2 (en) Semiconductor process
CN105322013B (zh) 半导体元件及其形成方法
US9105720B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US20120319179A1 (en) Metal gate and fabrication method thereof
US10141193B2 (en) Fabricating method of a semiconductor device with a high-K dielectric layer having a U-shape profile
US8211775B1 (en) Method of making transistor having metal gate
US20140315365A1 (en) Method of forming semiconductor device
TWI713117B (zh) 製作金屬閘極結構的方法
US20120329285A1 (en) Gate dielectric layer forming method
US9356125B1 (en) Manufacturing method of semiconductor structure
US8735269B1 (en) Method for forming semiconductor structure having TiN layer
US8329597B2 (en) Semiconductor process having dielectric layer including metal oxide and MOS transistor process
TWI517379B (zh) 金屬閘極結構及其製作方法
TWI521705B (zh) 鰭狀場效電晶體及其製程
US9741572B1 (en) Method of forming oxide layer
TWI567801B (zh) 半導體結構及其製程
CN103117297B (zh) 半导体结构及其制作工艺
TWI529803B (zh) 半導體製程
TWI565062B (zh) 半導體結構及其製程
TWI534894B (zh) 半導體結構及其製程
TW201301511A (zh) 金屬閘極及其製造方法
TWI552209B (zh) 形成半導體元件的方法
TWI518788B (zh) 具有金屬氧化物之介電層之半導體製程以及mos電晶體製程
TWI509667B (zh) 金屬閘極之結構及其製作方法
TWI517219B (zh) 製作具有金屬閘極之電晶體的方法