JP2008261059A - 半導体基板上の薄膜層のアパーチャのための充填装置 - Google Patents
半導体基板上の薄膜層のアパーチャのための充填装置 Download PDFInfo
- Publication number
- JP2008261059A JP2008261059A JP2008183118A JP2008183118A JP2008261059A JP 2008261059 A JP2008261059 A JP 2008261059A JP 2008183118 A JP2008183118 A JP 2008183118A JP 2008183118 A JP2008183118 A JP 2008183118A JP 2008261059 A JP2008261059 A JP 2008261059A
- Authority
- JP
- Japan
- Prior art keywords
- sputter
- layer
- substrate
- aperture
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 114
- 239000010409 thin film Substances 0.000 title claims abstract description 39
- 239000004065 semiconductor Substances 0.000 title claims abstract description 10
- 239000000463 material Substances 0.000 claims abstract description 108
- 238000004544 sputter deposition Methods 0.000 claims abstract description 72
- 238000000151 deposition Methods 0.000 claims abstract description 63
- 230000008021 deposition Effects 0.000 claims abstract description 45
- 238000012546 transfer Methods 0.000 claims abstract description 3
- 239000004020 conductor Substances 0.000 claims description 36
- 239000010936 titanium Substances 0.000 claims description 36
- 239000007789 gas Substances 0.000 claims description 31
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 24
- 229910052719 titanium Inorganic materials 0.000 claims description 24
- 239000003870 refractory metal Substances 0.000 claims description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 13
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- 238000005477 sputtering target Methods 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 236
- 238000000034 method Methods 0.000 description 59
- 229910052782 aluminium Inorganic materials 0.000 description 44
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 44
- 238000012545 processing Methods 0.000 description 24
- 230000004888 barrier function Effects 0.000 description 22
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- 230000008569 process Effects 0.000 description 12
- 239000013077 target material Substances 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 10
- 238000007796 conventional method Methods 0.000 description 10
- 239000000945 filler Substances 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- 229910010421 TiNx Inorganic materials 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 7
- 150000002736 metal compounds Chemical class 0.000 description 7
- 229910001092 metal group alloy Inorganic materials 0.000 description 7
- 239000002245 particle Substances 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 6
- 230000001427 coherent effect Effects 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 229910000838 Al alloy Inorganic materials 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- -1 aluminum-copper-silicon Chemical compound 0.000 description 3
- 239000010953 base metal Substances 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 230000003746 surface roughness Effects 0.000 description 3
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000011247 coating layer Substances 0.000 description 2
- 238000010924 continuous production Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910021341 titanium silicide Inorganic materials 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- 238000009736 wetting Methods 0.000 description 2
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- CSDREXVUYHZDNP-UHFFFAOYSA-N alumanylidynesilicon Chemical compound [Al].[Si] CSDREXVUYHZDNP-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000012876 carrier material Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000012050 conventional carrier Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 238000000197 pyrolysis Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000009717 reactive processing Methods 0.000 description 1
- 238000005546 reactive sputtering Methods 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/04—Coating on selected surface areas, e.g. using masks
- C23C14/046—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/0641—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/14—Metallic material, boron or silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/24—Vacuum evaporation
- C23C14/32—Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/3435—Applying energy to the substrate during sputtering
- C23C14/345—Applying energy to the substrate during sputtering using substrate bias
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
- C23C14/354—Introduction of auxiliary energy into the plasma
- C23C14/358—Inductive energy
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/56—Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
- C23C14/568—Transferring the substrates through a series of coating stations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76882—Reflowing or applying of pressure to better fill the contact hole
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53233—Copper alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
- Physical Vapour Deposition (AREA)
Abstract
【解決手段】スパッタチャンバと、堆積チャンバと、移送チャンバと、を備え、半導体基板上における薄膜層のアパーチャを充填する充填装置において、スパッタチャンバは、スパッタ堆積材料の供給源となるターゲットカソードと、半導体基板を支持するための基板位置決め部材と、DC電源装置を具備し、ターゲットカソードからスパッタされた種を生成するために使用される第1のプラズマ発生ユニットと、基板位置決め部材に接続された基板バイアスRF電源装置と、RF電源装置を具備し、ターゲットカソードと基板位置決め部材との間に位置して、ターゲットカソードから前もってスパッタされた種をイオン化又は再イオン化するために使用される第2のプラズマ発生ユニットと、を具備する。
【選択図】図2
Description
詳細な説明の前置きとして、本明細書並びに前出の特許請求の範囲に用いられるように、名詞は、別途その前後関係が明示されない限り、複数対象を含む、ということを留意すべきである。従って、例えば、用語"半導体"は、半導体の動作特性を有することが知られている様々な各種材料を意味し、"プラズマ"と引用する場合は、rfグロー放電によって励起されるガスもしくはガス反応物群が包含され、"コンタクト材質"という表現は、アルミニウム、アルミニウム合金群、及びここに記述した温度範囲以上でスパッタさせることができる融点を有するその他の伝導性材料を包含する。
本発明に従い、アパーチャが1:1又はそれ以上のアスペクト比を有する場合、且つアパーチャにコンタクト又はビアを形成するために在来技術の480℃又はそれ以上のオーダの(基板の)リフロー温度を実質的に下回るリフロー温度で導体の堆積が実施される場合において、伝統的な、即ち、非イオン化、非コヒーレント堆積技術を使って、導体を、特にアルミニウム(及びアルミニウム合金)を薄膜層又は基板中の(トレンチ、ビア又はスルーホールのような)アパーチャ中に堆積させ得ることが明らかにされた。このことは、導体が堆積される以前に高アスペクト比のアパーチャの壁の上にキャリア層を形成することにより可能となる。このキャリア層はアパーチャを充填するのに用いられる堆積材料のフロー又は移動を高め、よって、従来技術のスパッタ及びリフロー技術では妥当な時間で充填できなかったアパーチャに在来のスパッタ及びリフロー技術を用いてアパーチャの充填を可能にするものである、ということを出願人は知得した。事実、これは、4分の間で390℃の基板リフロー温度でほぼ5.0のアスペクト比を有する僅かに0.25μ幅(底部で0.15μ)のアパーチャにおいて達成されたもので、これは在来のスパッタリング技術では実行できないとこれまで考えられていたことである。特に、本発明は、キャリア層の上にアルミニウムのスパッタ堆積を施して高アスペクト比の穴にコンタクトを形成し、よってアパーチャにコンタクトを作ることを可能にするもので、これらは在来のスパッタリング技術を使って充填するには非実用的でありもしくは不可能であると思われたものであり、又、スパッタされた伝導性材料束がイオン化され次いで基板の方へ電気的に引き付けられる場合だけ充填できると考えられていたものである。本発明には、キャリア層で可能になるような高アスペクト比のアパーチャに形成されたコンタクトと、キャリア層を形成する処理を含むコンタクト形成処理法と、更にコンタクトとキャリア層とを作るのに用いられる装置とが包含される。
本発明は、薄膜層におけるアパーチャにコンタクト、ビア又は他の構造を設けるために新規な装置と新規な方法とを用いるものである。その方法と装置は、高アスペクト比の穴に、コリメーターを必要とせず、またイオン化状態のアパーチャ充填材料(典型的には、導体)を供給する必要もなく、コンタクト、ビア又は他の類似構造を設けるのに用いることができる。その方法と装置はまた、その上に堆積される充填材料をもってアパーチャの側壁上に堆積されるキャリア層をも与える。1つの特定の具体例では、材料がターゲットからスパッタされ、且つそれが基板上に堆積される以前に、少なくとも部分的にイオン化される(10乃至100%のイオン化)、キャリア層はイオン化堆積技術を使って堆積される。好ましくは、キャリア層の一部は非反応ターゲット材料から構成され且つキャリア層の残部は、基板上に堆積される以前に、既にガスと反応させられたターゲット材料から構成される。出願人が見い出したことは、該キャリア層は、従来技術で用いられたそれらより低いリフロー温度で、且つ平行化した種層を必要とせずに、高アスペクト比の穴の充填を容易化するということである。
コンタクトを形成するためにここに記述された諸技術を使って充填されるビア、トレンチ又は穴のようなアパーチャの説明として、図1はキャリア層100を含むアパーチャ113の略図を示す。例としての図のアパーチャ113は、シリコンベース100上の二酸化シリコン薄膜層111を通して形成された。アパーチャ113は、二酸化シリコン薄膜層111を通してシリコンベース110までドライエッチングにより作られたを。
最初に図1を参照して説明すると、そこには、高アスペクト比のアパーチャ113に、特に約5:1(1.2μ高さで.25μ幅)のアスペクト比を有するアパーチャ113に形成された好例のコンタクト118が示されている。コンタクトには少なくとも次の2つの副要素が含まれる。即ちキャリア層;及びキャリア層が堆積された後に残っているアパーチャ容積部分を充填するためにキャリア層上に堆積された伝導性材料である。ここに記述したコンタクトの具体例では、キャリア層は、ターゲットからの材料をスパッタし、それを基板上に堆積し、スパッタした材料の一部をそれが基板上に堆積される以前にターゲットと基板間の空間に維持されているガスとを反応させ、そしてまた、ターゲットからスパッタされた材料の少なくとも一部をそれが基板上に堆積される以前にイオン化することにより形成される。典型的コンタクトの伝導性材料は、低温にてキャリア層上に種層を堆積させ、その後続いて同一チャンバで伝導性材料の堆積を行い、一方、同時に行うリフロープロセスは、4分間390℃の基板温度で加熱することにより実行されるが、伝導性材料を含むターゲットの伝統的スパッタリングで生成した。
1.キャリア層の1具体例
本発明のキャリア層は、コンタクトに関して上述したように、障壁層として用いてよく、又は、障壁層が不要な場合に導体のような充填材料で高アスペクト比のアパーチャの充填を促進するのに用いてもよい。何れの場合においても、キャリア層により、従来法に比べて低い温度のリフロー処理において及び/又はより速い充填・平坦化時間で高アスペクト比を有するアパーチャを充填材料で充填することが可能となる。キャリア層は、多くの方法で特色付けてよい。特性に関する何れかの特性を満足するキャリア層により、従来法に比べて低い温度と速いリフロー時間で高アスペクト比のアパーチャの充填が可能となると考えられる。1つの特性では、キャリア層は、滑らかな層、即ち、従来法と比べて低い表面粗さを有する露出表面をもつ層である。該平滑層の1つは、稠密円柱障壁層材料の構造を含み、この場合、円柱は、アパーチャ壁に対して実質的に垂直に配置される。別の特性において、キャリア層はぬれ効果を有する。即ち、その上の材料、アルミニウム及びその合金を含む特定材料のフローを助長する。更に別の特性において、キャリア層は、スパッタ粒子のイオン化され、部分的に反応性の堆積という処理によってアパーチャの壁面と底部とに材料を堆積させることにより形成されるもので、即ち、ここでは、ターゲットからスパッタされる材料は少なくとも一部分イオン化され、部分的にガスと反応し、そしてそのイオン化され部分的に反応した材料は、基板支持体上に印加された電界の維持による等の方法で、基板の方へ向けられる。
キャリア層の1つの特性はその微細構造にあることを出願人は見い出した。標準の反応性スパッタリング法を使って生成された耐熱性金属又は金属合金の微細構造のため、リフロー操作を受けるスパッタアルミニウムが高アスペクト比のアパーチャ中へ難なく流れ込みそしてそれを充填することはない。このことは、従来法でスパッタされた耐熱性金属化合物の表面形状並びにぬれ性の欠如に起因するものと思われる。しかし、本発明のキャリア層の1つの特性は、比較的滑らかな表面であり、そのオングストローム単位の表面粗さは、従来技術の、伝統的にスパッタされた障壁層(約40−50オングストローム)のほぼ三分の一(約15オングストローム)である。この比較的平滑な層によって、従来の伝統的にスパッタした障壁層と比較して、より低い温度で、且つ集積回路製造の時間的制約の範囲内で、即ち、ほぼ5分で、高アスペクト比の穴を充填することが可能となることが見い出された。事実、本発明のキャリア層は、アスペクト比が5:1で幅が約2500オングストロームのアパーチャのアルミニウム導体による充填を可能にしており、これは、従来法に従う限り妥当な時間内では不可能なことである。これらの諸性質を有するアパーチャ中に伝統的スパッタリング法を使ってアルミニウムをスパッタ堆積させると、そのスパッタしたアルミニウムは許容し難いボイドで一杯になった。そのボイドを除去するためにアルミニウムをリフローしようと試みたが、高温においてすら成功しなかった。
本発明のコンタクト構造は、先ず、キャリア層をアパーチャの壁及び底部上に堆積し、その後、伝統的スパッタ技術を使って充填材料を堆積させ且つアパーチャ充填のためその材料をリフローしてアパーチャを充填することにより、ビア、スルーホース、又はトレンチのようなアパーチャ内部に作られる。アパーチャをライニングするのに用いられるキャリア層は、イオン化堆積法によって、好ましくは、スパッタ材料束をイオン化することにより生成される。キャリア層は、好ましくは、イオン化スパッタした耐熱性金属の少なくとも1層から構成され、それは、部分的にガスと反応して薄膜層(100オングストローム又はそれ未満)の状態で耐熱性金属と耐熱性金属化合物とから成る薄膜層を形成する。議論上の目的で、従ってそれによって制限されるものではないが、本発明は、ここでは、耐熱性金属としてチタンを且つ耐熱性金属化合物として窒化チタンを用いて説明する。1具体例では、その構造は、図1に示し且つ上述した方式の3層構造である。
本発明の方法をその中で実施してよい処理システムは、Applied Materials社(Santa Clara,California)の5500型集中処理システム(Integrated ProcessingSystem)である。この処理システムは、図には特に示さないが、図2に示すその処理要素は、該集中処理システム内部に含まれている1つの低圧処理チャンバの中で操作できるものである。そのシステムはまた、米国特許第5,186,718号と5,236,868号に提示・説明されており、その開示は参考としてここに引用する。図2を参照して、本発明の平滑障壁層を形成するための1つの低圧処理チャンバには、(スパッタリング用プラズマを閉じ込めてスパッタリング速度を増すための)スパッタ磁石210と、約24kW未満の電力値で動作するスパッタリングターゲットカソード212が採用されている。特殊な、Ti素地のキャリア層を形成するためのチタンのターゲットカソードは、直径14インチ(35.5cm)であり、このカソードに約3kWから約5kWまでの範囲にわたってDC電力を印加した。直径8インチ(20.3cm)のシリコンウェハから成る基板をターゲットカソード212から約5インチ(12.7cm)の距離を離して配置した。高密度の、誘導結合のrfプラズマは、rf電力213を約1MHzから13.6MHzまでの範囲にわたってターゲットと基板間のプラズマ領域を取り囲んでいる直径0.125インチ(0.32cm)の水冷式金属配管214の(好ましくは4巻きを越える)多重巻きコイルに印加することにより、ターゲットカソード212と基板218間の領域に発生させた。50〜800kHzのオーダの、比較的低周波数のバイアスを基板218又は支持部材に印加する。生ずるセルフバイアスによって、プラズマからのイオンが基板の方へ引き付けられる。
A.実施例
A イオン化させ、部分的に背景ガスと反応させた窒化チタン/チタン層について、直径8インチ(20.3cm)の基板の表面上で、分当たり約300オングストロームという堆積速度を得るために、2MHzのrf電力1.5KWをコイル214に印加し、一方、5KWのDC電力をチタンターゲットカソード212へ加え、且つ350kHzのACバイアス90Wを基板プラテン電極220に加え、その結果、70VのD.C.バイアスを生じた。スパッタ材料のスパッタリングとイオン化は、チャンバ圧力が約20mTから約30mTの範囲の処理チャンバで実施した。分当たり約300オングストロームの堆積速度を得るために、スパッタ材料のスパッタリングとイオン化は、約30mTで実施した。この圧力は、AppliedMaterials社の5500型集中処理システムにおいて約10sccmのアルゴン送込み速度及び70sccmの窒素送込み速度に対応した。処理チャンバにおける基板の温度は、約50℃であった。
直径8インチ(20.3cm)の基板の表面上で、イオン化チタンの分当たり約1200オングストロームという堆積速度を得るために、2MHzのrf電力1.5KWをコイル214に印加し、一方、5KWのDC電力をチタンターゲットカソード212へ加え、且つ350kHzで120Wの低周波数バイアスを基板プラテン電極220に加え、その結果、45VのD.C.バイアスを生じた。スパッタリングとイオン化は、チャンバ圧力が約20mTから約30mTアルゴンの範囲の処理チャンバで実施した。分当たり約1200オングストロームの堆積速度を得るために、スパッタリングとイオン化は、約20mTで実施した。この圧力は、AppliedMaterials社の5500型集中処理システムにおいて約45sccmのアルゴン送込み速度に対応した。処理チャンバにおける基板の温度は、約50℃であった。
図3は、印加電力と処理チャンバの圧力の関数として、イオン堆積式スパッタ化チタン及び窒化チタンに関して達成されたボトムカバレージ(bottomcoverage)の%を示すものである。パーセントのボトムカバレージは、スルーホールの底面におけるチタン又はその結果生じた窒化チタンのイオン化スパッタ堆積の厚さをスルーホールを囲んでいる基板の上表面上に堆積された材料の厚さのパーセントとして指すものである。従って、もしボトムカバレージが50%なら、スルーホール底面における層の厚さは、基板の上表面上の層厚の50%である。スパッタ粒子のイオン化堆積法を使って得られるボトムカバレージは、標準のスパッタリング法を使って達成されるものより10倍以上大きいことが立証されている。ボトムカバレージのこの著しい上昇は、スルーホールの壁の平滑度の驚くべき上昇を伴い、これによってアルミニウムは予想外の容易さで壁の上を流れることができ、最終のコンタクト構造にボイド領域が存在しないホールの急速充填を実現できるのである。
図4は、約5のアスペクト比を有する直径0.25μのスルーホールのアルミニウム充填を実現できるアルミニウムスパッタリングについての時間温度条件を示す。スルーホールは、シリコンウェハ基板上に堆積させた二酸化シリコン層約1.2μ厚をドライエッチングして作製した。次いで、本発明の3層Ti/TiN/Tixのイオン化スパッタ材料構造体を(下層のシリコン基板を含む)スルーホール上に加えた。
本発明の最も好ましい具体例では、導体層は、低温において導体材料の"種"層をスパッタ堆積させ、且つその後高温においてアパーチャの残り部分をスパッタ堆積導体で充填することにより与えられたが、アパーチャの充填は、基板をその直径より遠くターゲットから離して行う長行程スパッタリング法と、それに続く、通常のスパッタ堆積法、即ち、ターゲット対基板の間隔2乃至5cmにおけるスパッタターゲット材料のイオン化堆積又はその他の方法によるような、他の手段で実現してもよい。しかし、ここに記述したようなイオン化スパッタ材料の堆積で施されるライニングは、最大の利点、即ち、導体が在来のスパッタリングで与えられる場合のホール充填の可能性の大幅な改善をもたらすことを発明者は見い出した。特に、イオン化スパッタリングによって実施されたライニングにより、従来のスパッタリング法でアパーチャの充填が可能となり、それは、典型的に、イオン化スパッタリング法又は長行程スパッタリング法のそれの少なくとも2倍の堆積速度を有するものである。それ故、発明は、図5のラインに沿ってクラスタ・ツールを設けることにより最大利点まで利用でき、この場合、少なくとも1つの堆積チャンバはキャリア層を生成し、他のチャンバ群が導体材料を与える。コンタクトとキャリア層、コンタクトとキャリア層を形成する装置、及びコンタクトとキャリア層を形成する方法は全て、物理的蒸気堆積法によるキャリア層の生成に関連して記述されているが、本明細書に記述した諸方法を使って作ったキャリア層の1つ以上の特性を有するキャリア層は、何れも、伝統的スパッタリング法による高アスペクト比のホールの充填を可能にする上で有用であることを、出願人は提起するものである。従って、本発明のキャリア層並びにコンタクトは、そのキャリア層がここに記述した1つ以上の性質又は特性を有する場合、キャリア層又はキャリア層上のスパッタ堆積コンタクトの何れをも包含するものと、広義に解釈されるべきである。
Claims (10)
- 半導体基板上の薄膜層のアパーチャを充填するための装置において、
a) 前記アパーチャ表面の上に共形の薄膜層を形成するイオン化スパッタ堆積材料を供給するスパッタチャンバであって、スパッタ堆積材料ソースと、ガス供給部と、基板位置決め部材と、前記スパッタ堆積材料に衝突させるために使用する活性種を生産する第1のプラズマ発生ソースと、第二の誘導結合RFプラズマ発生ソースと、を備え、該第二の誘導結合RFプラズマ発生ソースは、前記スパッタ堆積材料ソースと前記基板の間に位置する該第二のプラズマ発生ソースによって発生されたプラズマを通過する、スパッタ堆積材料をイオン化するために使用されるスパッタチャンバと、
b) 前記スパッタチャンバ内で前記アパーチャ上に形成された、前記共形の薄膜層の上に堆積するための材料ソースを提供する堆積チャンバと、
c) 前記スパッタチャンバと前記堆積チャンバを相互接続している移送チャンバと、を備える装置。 - 前記スパッタチャンバが、前記基板に前記イオン化スパッタ堆積材料を堆積する前に、少なくとも前記イオン化スパッタ堆積材料の一部と反応させるためにガスを前記スパッタチャンバに選択的に導入する反応ガスインレットを更に含む、請求項1記載の装置。
- 前記スパッタ堆積チャンバが、
少なくとも1つのスパッタターゲットと、ガス入口と、前記スパッタ堆積材料を提供するために前記ターゲットに衝突する、前記第1のプラズマに前記ガスインレットから供給されるガスを変換するためのエネルギを供給するためのパワー源と、
を含む請求項2記載の装置。 - 前記スパッタ堆積チャンバが、
前記反応ガスインレットの少なくとも開口及び閉鎖をするためのバルブと、前記ターゲットのスパッタリング中に前記バルブを選択的に開閉するために連結されるシステムコントローラと、を更に含む請求項3記載の装置。 - 前記バルブは、前記ターゲットがスパッタされると、閉位置、次に開位置、そして次にまた閉位置で支持することができるバルブである請求項4記載の装置。
- 前記スパッタ堆積チャンバでの前記スパッタリングターゲットは、高融点金属を含む請求項5記載の装置。
- 前記スパッタ堆積チャンバでの前記スパッタリングターゲットは、チタンを含む請求項6記載の装置。
- 窒素を提供するためのガス供給部を含む請求項7記載の装置。
- 前記第二のプラズマ発生ソースは、前記スパッタ堆積チャンバでプラズマ形成領域に延びた少なくとも一巻の導体を含む請求項8記載の装置。
- 基板バイアス電圧を提供するために前記スパッタ堆積チャンバで前記陽極に適用される電源を含む請求項1記載の装置。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/511,825 US5962923A (en) | 1995-08-07 | 1995-08-07 | Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001113150A Division JP2001358091A (ja) | 1995-08-07 | 2001-04-11 | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2008261059A true JP2008261059A (ja) | 2008-10-30 |
Family
ID=24036608
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP20863696A Expired - Fee Related JP3193875B2 (ja) | 1995-08-07 | 1996-08-07 | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 |
JP2001113150A Pending JP2001358091A (ja) | 1995-08-07 | 2001-04-11 | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 |
JP2008183118A Pending JP2008261059A (ja) | 1995-08-07 | 2008-07-14 | 半導体基板上の薄膜層のアパーチャのための充填装置 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP20863696A Expired - Fee Related JP3193875B2 (ja) | 1995-08-07 | 1996-08-07 | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 |
JP2001113150A Pending JP2001358091A (ja) | 1995-08-07 | 2001-04-11 | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 |
Country Status (4)
Country | Link |
---|---|
US (5) | US5962923A (ja) |
EP (1) | EP0758148A3 (ja) |
JP (3) | JP3193875B2 (ja) |
KR (3) | KR100442023B1 (ja) |
Families Citing this family (204)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5962923A (en) * | 1995-08-07 | 1999-10-05 | Applied Materials, Inc. | Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches |
JP2891161B2 (ja) * | 1996-02-15 | 1999-05-17 | 日本電気株式会社 | 配線形成方法 |
EP0799903A3 (en) * | 1996-04-05 | 1999-11-17 | Applied Materials, Inc. | Methods of sputtering a metal onto a substrate and semiconductor processing apparatus |
US5789317A (en) * | 1996-04-12 | 1998-08-04 | Micron Technology, Inc. | Low temperature reflow method for filling high aspect ratio contacts |
DE19621855C2 (de) * | 1996-05-31 | 2003-03-27 | Univ Dresden Tech | Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers |
US6429120B1 (en) * | 2000-01-18 | 2002-08-06 | Micron Technology, Inc. | Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals |
TW402778B (en) * | 1996-07-12 | 2000-08-21 | Applied Materials Inc | Aluminum hole filling using ionized metal adhesion layer |
US6309971B1 (en) | 1996-08-01 | 2001-10-30 | Cypress Semiconductor Corporation | Hot metallization process |
US5793272A (en) * | 1996-08-23 | 1998-08-11 | International Business Machines Corporation | Integrated circuit toroidal inductor |
TW358964B (en) * | 1996-11-21 | 1999-05-21 | Applied Materials Inc | Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma |
US6537905B1 (en) * | 1996-12-30 | 2003-03-25 | Applied Materials, Inc. | Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug |
US7510961B2 (en) * | 1997-02-14 | 2009-03-31 | Micron Technology, Inc. | Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure |
US20040222525A1 (en) * | 1997-03-14 | 2004-11-11 | Rhodes Howard E. | Advanced VLSI metallization |
US5925225A (en) * | 1997-03-27 | 1999-07-20 | Applied Materials, Inc. | Method of producing smooth titanium nitride films having low resistivity |
EP0867940A3 (en) * | 1997-03-27 | 1999-10-13 | Applied Materials, Inc. | An underlayer for an aluminum interconnect |
TW386250B (en) * | 1997-04-04 | 2000-04-01 | Applied Materials Inc | Method and apparatus for reducing the first wafer effect |
US6057237A (en) * | 1997-04-29 | 2000-05-02 | Applied Materials, Inc. | Tantalum-containing barrier layers for copper |
US6605197B1 (en) * | 1997-05-13 | 2003-08-12 | Applied Materials, Inc. | Method of sputtering copper to fill trenches and vias |
US6210539B1 (en) | 1997-05-14 | 2001-04-03 | Applied Materials, Inc. | Method and apparatus for producing a uniform density plasma above a substrate |
US6077402A (en) * | 1997-05-16 | 2000-06-20 | Applied Materials, Inc. | Central coil design for ionized metal plasma deposition |
US6361661B2 (en) | 1997-05-16 | 2002-03-26 | Applies Materials, Inc. | Hybrid coil design for ionized deposition |
US6176978B1 (en) | 1997-08-18 | 2001-01-23 | Applied Materials, Inc. | Pasting layer formation method for high density plasma deposition chambers |
US5882399A (en) * | 1997-08-23 | 1999-03-16 | Applied Materials, Inc. | Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect |
US6054768A (en) * | 1997-10-02 | 2000-04-25 | Micron Technology, Inc. | Metal fill by treatment of mobility layers |
US6140228A (en) * | 1997-11-13 | 2000-10-31 | Cypress Semiconductor Corporation | Low temperature metallization process |
KR100480572B1 (ko) * | 1997-11-13 | 2005-09-30 | 삼성전자주식회사 | 알루미늄배선층형성방법 |
EP1034566A1 (en) * | 1997-11-26 | 2000-09-13 | Applied Materials, Inc. | Damage-free sculptured coating deposition |
US6887353B1 (en) * | 1997-12-19 | 2005-05-03 | Applied Materials, Inc. | Tailored barrier layer which provides improved copper interconnect electromigration resistance |
JP3399814B2 (ja) * | 1997-11-27 | 2003-04-21 | 科学技術振興事業団 | 微細突起構造体の製造方法 |
US6174811B1 (en) * | 1998-12-02 | 2001-01-16 | Applied Materials, Inc. | Integrated deposition process for copper metallization |
US6284316B1 (en) * | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
US6506287B1 (en) | 1998-03-16 | 2003-01-14 | Applied Materials, Inc. | Overlap design of one-turn coil |
JP3735461B2 (ja) * | 1998-03-27 | 2006-01-18 | 株式会社シンクロン | 複合金属の化合物薄膜形成方法及びその薄膜形成装置 |
US6177350B1 (en) | 1998-04-14 | 2001-01-23 | Applied Materials, Inc. | Method for forming a multilayered aluminum-comprising structure on a substrate |
US6146508A (en) * | 1998-04-22 | 2000-11-14 | Applied Materials, Inc. | Sputtering method and apparatus with small diameter RF coil |
JP3126698B2 (ja) * | 1998-06-02 | 2001-01-22 | 富士通株式会社 | スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法 |
US6660134B1 (en) | 1998-07-10 | 2003-12-09 | Applied Materials, Inc. | Feedthrough overlap coil |
US6759306B1 (en) * | 1998-07-10 | 2004-07-06 | Micron Technology, Inc. | Methods of forming silicon dioxide layers and methods of forming trench isolation regions |
US6284656B1 (en) | 1998-08-04 | 2001-09-04 | Micron Technology, Inc. | Copper metallurgy in integrated circuits |
US6277737B1 (en) * | 1998-09-02 | 2001-08-21 | Micron Technology, Inc. | Semiconductor processing methods and integrated circuitry |
US6187673B1 (en) * | 1998-09-03 | 2001-02-13 | Micron Technology, Inc. | Small grain size, conformal aluminum interconnects and method for their formation |
US6288442B1 (en) * | 1998-09-10 | 2001-09-11 | Micron Technology, Inc. | Integrated circuit with oxidation-resistant polymeric layer |
WO2000041235A1 (en) | 1999-01-08 | 2000-07-13 | Applied Materials, Inc. | Method of depositing a copper seed layer which promotes improved feature surface coverage |
JP2000212754A (ja) * | 1999-01-22 | 2000-08-02 | Sony Corp | めっき方法及びその装置、並びにめっき構造 |
TW426953B (en) * | 1999-01-22 | 2001-03-21 | United Microelectronics Corp | Method of producing metal plug |
DE19922557B4 (de) * | 1999-05-17 | 2004-11-04 | Infineon Technologies Ag | Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere |
US6413858B1 (en) * | 1999-08-27 | 2002-07-02 | Micron Technology, Inc. | Barrier and electroplating seed layer |
US6824825B2 (en) * | 1999-09-13 | 2004-11-30 | Tokyo Electron Limited | Method for depositing metallic nitride series thin film |
US8696875B2 (en) * | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6398929B1 (en) * | 1999-10-08 | 2002-06-04 | Applied Materials, Inc. | Plasma reactor and shields generating self-ionized plasma for sputtering |
US10047430B2 (en) | 1999-10-08 | 2018-08-14 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
KR100326253B1 (ko) * | 1999-12-28 | 2002-03-08 | 박종섭 | 반도체 소자의 캐패시터 형성방법 |
US6969448B1 (en) * | 1999-12-30 | 2005-11-29 | Cypress Semiconductor Corp. | Method for forming a metallization structure in an integrated circuit |
US7262130B1 (en) | 2000-01-18 | 2007-08-28 | Micron Technology, Inc. | Methods for making integrated-circuit wiring from copper, silver, gold, and other metals |
US7211512B1 (en) | 2000-01-18 | 2007-05-01 | Micron Technology, Inc. | Selective electroless-plated copper metallization |
US6376370B1 (en) | 2000-01-18 | 2002-04-23 | Micron Technology, Inc. | Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy |
US6420262B1 (en) | 2000-01-18 | 2002-07-16 | Micron Technology, Inc. | Structures and methods to enhance copper metallization |
US6627056B2 (en) * | 2000-02-16 | 2003-09-30 | Applied Materials, Inc. | Method and apparatus for ionized plasma deposition |
DE10014917B4 (de) * | 2000-03-17 | 2004-12-02 | Infineon Technologies Ag | Verfahren zur Herstellung einer Kontaktschicht |
US6335261B1 (en) | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US20050181600A1 (en) * | 2000-06-30 | 2005-08-18 | Hynix Semiconductor, Inc. | Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate |
US6624011B1 (en) * | 2000-08-14 | 2003-09-23 | Matrix Semiconductor, Inc. | Thermal processing for three dimensional circuits |
US6544895B1 (en) * | 2000-08-17 | 2003-04-08 | Micron Technology, Inc. | Methods for use of pulsed voltage in a plasma reactor |
US6485572B1 (en) * | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
US6534394B1 (en) | 2000-09-13 | 2003-03-18 | International Business Machines Corporation | Process to create robust contacts and interconnects |
US6376281B1 (en) | 2000-10-27 | 2002-04-23 | Honeywell International, Inc. | Physical vapor deposition target/backing plate assemblies |
US6586334B2 (en) * | 2000-11-09 | 2003-07-01 | Texas Instruments Incorporated | Reducing copper line resistivity by smoothing trench and via sidewalls |
US6652718B1 (en) * | 2001-01-30 | 2003-11-25 | Novellus Systems, Inc. | Use of RF biased ESC to influence the film properties of Ti and TiN |
US6326306B1 (en) * | 2001-02-15 | 2001-12-04 | United Microelectronics Corp. | Method of forming copper dual damascene structure |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US8043484B1 (en) | 2001-03-13 | 2011-10-25 | Novellus Systems, Inc. | Methods and apparatus for resputtering process that improves barrier coverage |
US7781327B1 (en) | 2001-03-13 | 2010-08-24 | Novellus Systems, Inc. | Resputtering process for eliminating dielectric damage |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US6869515B2 (en) * | 2001-03-30 | 2005-03-22 | Uri Cohen | Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings |
US6429524B1 (en) * | 2001-05-11 | 2002-08-06 | International Business Machines Corporation | Ultra-thin tantalum nitride copper interconnect barrier |
US6688584B2 (en) * | 2001-05-16 | 2004-02-10 | Micron Technology, Inc. | Compound structure for reduced contact resistance |
KR100433846B1 (ko) * | 2001-05-23 | 2004-06-04 | 주식회사 하이닉스반도체 | 반도체장치의 금속도전막 형성방법 |
US20030008243A1 (en) * | 2001-07-09 | 2003-01-09 | Micron Technology, Inc. | Copper electroless deposition technology for ULSI metalization |
US7067440B1 (en) | 2001-08-24 | 2006-06-27 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6746950B2 (en) * | 2001-11-14 | 2004-06-08 | Vitesse Semiconductor Corporation | Low temperature aluminum planarization process |
TWI253478B (en) * | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US7659209B2 (en) * | 2001-11-14 | 2010-02-09 | Canon Anelva Corporation | Barrier metal film production method |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
KR100440261B1 (ko) * | 2001-12-22 | 2004-07-15 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
US6537909B1 (en) * | 2002-01-03 | 2003-03-25 | United Microelectronics Corp. | Method of preventing silicide spiking |
DE10208787B4 (de) * | 2002-02-28 | 2007-04-26 | Infineon Technologies Ag | Verfahren zum Füllen von teilweise bauchigen tiefen Gräben oder tiefen Gräben mit einer Flankensteilheit von 90° oder größer und dieses verwendende Verfahren zur Herstellung von MOS-Feldeffekttransistoren und IGBTs |
DE10214065B4 (de) * | 2002-03-28 | 2006-07-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
DE10219115A1 (de) * | 2002-04-29 | 2003-11-13 | Infineon Technologies Ag | Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch |
US6887786B2 (en) * | 2002-05-14 | 2005-05-03 | Applied Materials, Inc. | Method and apparatus for forming a barrier layer on a substrate |
US6948231B2 (en) * | 2002-05-21 | 2005-09-27 | International Business Machines Corporation | Method of depositing material into high aspect ratio features |
KR100564605B1 (ko) * | 2004-01-14 | 2006-03-28 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20080070405A1 (en) * | 2002-05-30 | 2008-03-20 | Park Jae-Hwa | Methods of forming metal wiring layers for semiconductor devices |
KR100446300B1 (ko) * | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US7169704B2 (en) * | 2002-06-21 | 2007-01-30 | Samsung Electronics Co., Ltd. | Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device |
US7504006B2 (en) * | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
US6780762B2 (en) | 2002-08-29 | 2004-08-24 | Micron Technology, Inc. | Self-aligned, integrated circuit contact and formation method |
US6977217B1 (en) * | 2002-12-03 | 2005-12-20 | Cypress Semiconductor Corporation | Aluminum-filled via structure with barrier layer |
US7122485B1 (en) | 2002-12-09 | 2006-10-17 | Novellus Systems, Inc. | Deposition profile modification through process chemistry |
US20040112735A1 (en) * | 2002-12-17 | 2004-06-17 | Applied Materials, Inc. | Pulsed magnetron for sputter deposition |
US20060249753A1 (en) * | 2005-05-09 | 2006-11-09 | Matrix Semiconductor, Inc. | High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes |
KR100467783B1 (ko) * | 2002-12-20 | 2005-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 금속배선 형성방법 |
EP1433740A1 (en) * | 2002-12-24 | 2004-06-30 | Interuniversitair Microelektronica Centrum Vzw | Method for the closure of openings in a film |
KR100688761B1 (ko) * | 2002-12-30 | 2007-02-28 | 동부일렉트로닉스 주식회사 | 반도체의 금속배선 형성방법 |
DE10261466B4 (de) * | 2002-12-31 | 2007-01-04 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften |
US7842605B1 (en) | 2003-04-11 | 2010-11-30 | Novellus Systems, Inc. | Atomic layer profiling of diffusion barrier and metal seed layers |
US8298933B2 (en) | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
JP4248928B2 (ja) * | 2003-05-13 | 2009-04-02 | ローム株式会社 | 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置 |
US20040229453A1 (en) * | 2003-05-15 | 2004-11-18 | Jsr Micro, Inc. | Methods of pore sealing and metal encapsulation in porous low k interconnect |
US7253092B2 (en) * | 2003-06-24 | 2007-08-07 | Nec Electronics America, Inc. | Tungsten plug corrosion prevention method using water |
US7220665B2 (en) | 2003-08-05 | 2007-05-22 | Micron Technology, Inc. | H2 plasma treatment |
ATE474071T1 (de) * | 2003-08-11 | 2010-07-15 | Honeywell Int Inc | Target/trägerplatte-konstruktionen und herstellungsverfahren dafür |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US7198675B2 (en) | 2003-09-30 | 2007-04-03 | Advanced Cardiovascular Systems | Stent mandrel fixture and method for selectively coating surfaces of a stent |
US7112286B2 (en) * | 2003-12-04 | 2006-09-26 | Texas Instruments Incorporated | Thin film resistor structure and method of fabricating a thin film resistor structure |
US7344996B1 (en) | 2005-06-22 | 2008-03-18 | Novellus Systems, Inc. | Helium-based etch process in deposition-etch-deposition gap fill |
US7163896B1 (en) | 2003-12-10 | 2007-01-16 | Novellus Systems, Inc. | Biased H2 etch process in deposition-etch-deposition gap fill |
US7476621B1 (en) | 2003-12-10 | 2009-01-13 | Novellus Systems, Inc. | Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill |
US20050181177A1 (en) * | 2004-02-18 | 2005-08-18 | Jamie Knapp | Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels |
US7101787B1 (en) | 2004-04-09 | 2006-09-05 | National Semiconductor Corporation | System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition |
DE102005023670B4 (de) * | 2004-05-25 | 2007-12-27 | Samsung Electronics Co., Ltd., Suwon | Verfahren zum Ausbilden von Metall-Nitrid-Schichten in Kontaktöffnungen und integrierte Schaltung mit derart ausgebildeten Schichten |
KR100594276B1 (ko) * | 2004-05-25 | 2006-06-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20050266173A1 (en) * | 2004-05-26 | 2005-12-01 | Tokyo Electron Limited | Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process |
US7217658B1 (en) | 2004-09-07 | 2007-05-15 | Novellus Systems, Inc. | Process modulation to prevent structure erosion during gap fill |
US7176039B1 (en) | 2004-09-21 | 2007-02-13 | Novellus Systems, Inc. | Dynamic modification of gap fill process characteristics |
US7226858B2 (en) * | 2004-09-30 | 2007-06-05 | Microchip Technology Incorporated | Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition |
US7381451B1 (en) | 2004-11-17 | 2008-06-03 | Novellus Systems, Inc. | Strain engineering—HDP thin film with tensile stress for FEOL and other applications |
KR100667561B1 (ko) * | 2005-02-18 | 2007-01-11 | 주식회사 아이피에스 | 박막 증착 방법 |
JP4914573B2 (ja) * | 2005-02-25 | 2012-04-11 | キヤノンアネルバ株式会社 | 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法 |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
US7785947B2 (en) * | 2005-04-28 | 2010-08-31 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma |
US7250363B2 (en) * | 2005-05-09 | 2007-07-31 | International Business Machines Corporation | Aligned dummy metal fill and hole shapes |
US7378002B2 (en) * | 2005-08-23 | 2008-05-27 | Applied Materials, Inc. | Aluminum sputtering while biasing wafer |
TWI397972B (zh) * | 2005-08-26 | 2013-06-01 | Hitachi Ltd | Semiconductor device manufacturing method |
US8308053B2 (en) * | 2005-08-31 | 2012-11-13 | Micron Technology, Inc. | Microfeature workpieces having alloyed conductive structures, and associated methods |
US8026788B2 (en) * | 2005-12-09 | 2011-09-27 | Fraunhofer—Gesellschaft zur Foerderung der angewandten Forschung e.V. | Thin-film resistor with a layer structure and method for manufacturing a thin-film resistor with a layer structure |
US7867547B2 (en) | 2005-12-19 | 2011-01-11 | Advanced Cardiovascular Systems, Inc. | Selectively coating luminal surfaces of stents |
US20070138001A1 (en) * | 2005-12-19 | 2007-06-21 | Teng-Yuan Ko | Method of forming an inductor on a semiconductor substrate |
US20070209930A1 (en) * | 2006-03-09 | 2007-09-13 | Applied Materials, Inc. | Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US20070243708A1 (en) * | 2006-04-12 | 2007-10-18 | Jens Hahn | Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill |
US20090077506A1 (en) * | 2007-05-16 | 2009-03-19 | Eugene Anikin | Simultaneous Multi-Layer Fill Generation |
US8003156B2 (en) | 2006-05-04 | 2011-08-23 | Advanced Cardiovascular Systems, Inc. | Rotatable support elements for stents |
US7514370B2 (en) * | 2006-05-19 | 2009-04-07 | International Business Machines Corporation | Compressive nitride film and method of manufacturing thereof |
US7598540B2 (en) * | 2006-06-13 | 2009-10-06 | International Business Machines Corporation | High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same |
US8603530B2 (en) | 2006-06-14 | 2013-12-10 | Abbott Cardiovascular Systems Inc. | Nanoshell therapy |
US8048448B2 (en) | 2006-06-15 | 2011-11-01 | Abbott Cardiovascular Systems Inc. | Nanoshells for drug delivery |
US7482245B1 (en) | 2006-06-20 | 2009-01-27 | Novellus Systems, Inc. | Stress profile modulation in STI gap fill |
US7855147B1 (en) | 2006-06-22 | 2010-12-21 | Novellus Systems, Inc. | Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer |
US8017237B2 (en) | 2006-06-23 | 2011-09-13 | Abbott Cardiovascular Systems, Inc. | Nanoshells on polymers |
US7877895B2 (en) * | 2006-06-26 | 2011-02-01 | Tokyo Electron Limited | Substrate processing apparatus |
KR100792358B1 (ko) * | 2006-09-29 | 2008-01-09 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 및 그 형성방법 |
US7510634B1 (en) | 2006-11-10 | 2009-03-31 | Novellus Systems, Inc. | Apparatus and methods for deposition and/or etch selectivity |
EP1947210A1 (fr) * | 2007-01-16 | 2008-07-23 | ARCELOR France | Procede de revetement d'un substrat, installation de mise en oeuvre du procede et dispositif d'alimentation en metal d'une telle installation |
US7682966B1 (en) | 2007-02-01 | 2010-03-23 | Novellus Systems, Inc. | Multistep method of depositing metal seed layers |
US7541288B2 (en) * | 2007-03-08 | 2009-06-02 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques |
US7897516B1 (en) | 2007-05-24 | 2011-03-01 | Novellus Systems, Inc. | Use of ultra-high magnetic fields in resputter and plasma etching |
US7922880B1 (en) | 2007-05-24 | 2011-04-12 | Novellus Systems, Inc. | Method and apparatus for increasing local plasma density in magnetically confined plasma |
US8048441B2 (en) | 2007-06-25 | 2011-11-01 | Abbott Cardiovascular Systems, Inc. | Nanobead releasing medical devices |
US7727882B1 (en) | 2007-12-17 | 2010-06-01 | Novellus Systems, Inc. | Compositionally graded titanium nitride film for diffusion barrier applications |
US7704886B2 (en) * | 2008-02-14 | 2010-04-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-step Cu seed layer formation for improving sidewall coverage |
US8252690B2 (en) * | 2008-02-14 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | In situ Cu seed layer formation for improving sidewall coverage |
EP2272080B1 (de) * | 2008-04-28 | 2012-08-01 | CemeCon AG | Vorrichtung und verfahren zum vorbehandeln und beschichten von körpern |
US8133797B2 (en) * | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US8017523B1 (en) | 2008-05-16 | 2011-09-13 | Novellus Systems, Inc. | Deposition of doped copper seed layers having improved reliability |
JP5249328B2 (ja) * | 2008-07-11 | 2013-07-31 | キヤノンアネルバ株式会社 | 薄膜の成膜方法 |
US7615439B1 (en) * | 2008-09-29 | 2009-11-10 | Sandisk Corporation | Damascene process for carbon memory element with MIIM diode |
US20100078758A1 (en) * | 2008-09-29 | 2010-04-01 | Sekar Deepak C | Miim diodes |
US7969011B2 (en) * | 2008-09-29 | 2011-06-28 | Sandisk 3D Llc | MIIM diodes having stacked structure |
US8900471B2 (en) * | 2009-02-27 | 2014-12-02 | Applied Materials, Inc. | In situ plasma clean for removal of residue from pedestal surface without breaking vacuum |
JP2010245334A (ja) | 2009-04-07 | 2010-10-28 | Renesas Electronics Corp | 半導体装置の製造方法 |
JP5144585B2 (ja) | 2009-05-08 | 2013-02-13 | 住友電気工業株式会社 | 半導体装置およびその製造方法 |
US20100283053A1 (en) * | 2009-05-11 | 2010-11-11 | Sandisk 3D Llc | Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature |
JP5612830B2 (ja) * | 2009-05-18 | 2014-10-22 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US8697574B2 (en) * | 2009-09-25 | 2014-04-15 | Infineon Technologies Ag | Through substrate features in semiconductor substrates |
US20110101534A1 (en) * | 2009-11-04 | 2011-05-05 | International Business Machines Corporation | Automated short length wire shape strapping and methods of fabricting the same |
WO2011081202A1 (ja) | 2009-12-29 | 2011-07-07 | キヤノンアネルバ株式会社 | 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体 |
JP5649441B2 (ja) | 2009-12-29 | 2015-01-07 | キヤノンアネルバ株式会社 | 金属膜を埋め込む工程を有する電子部品の製造方法 |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
JP5392215B2 (ja) * | 2010-09-28 | 2014-01-22 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
CN102453855B (zh) * | 2010-10-28 | 2014-12-31 | 鸿富锦精密工业(深圳)有限公司 | 壳体及其制造方法 |
US8431033B2 (en) * | 2010-12-21 | 2013-04-30 | Novellus Systems, Inc. | High density plasma etchback process for advanced metallization applications |
US9905443B2 (en) | 2011-03-11 | 2018-02-27 | Applied Materials, Inc. | Reflective deposition rings and substrate processing chambers incorporating same |
US8404048B2 (en) | 2011-03-11 | 2013-03-26 | Applied Materials, Inc. | Off-angled heating of the underside of a substrate using a lamp assembly |
WO2013111212A1 (ja) | 2012-01-24 | 2013-08-01 | キヤノンアネルバ株式会社 | 電子部品の製造方法及び電極構造 |
US8723115B2 (en) | 2012-03-27 | 2014-05-13 | Kla-Tencor Corporation | Method and apparatus for detecting buried defects |
US9449788B2 (en) | 2013-09-28 | 2016-09-20 | Kla-Tencor Corporation | Enhanced defect detection in electron beam inspection and review |
JP6329839B2 (ja) * | 2014-07-29 | 2018-05-23 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9406617B1 (en) * | 2015-11-19 | 2016-08-02 | International Business Machines Corporation | Structure and process for W contacts |
US9704804B1 (en) * | 2015-12-18 | 2017-07-11 | Texas Instruments Incorporated | Oxidation resistant barrier metal process for semiconductor devices |
JP6801200B2 (ja) | 2016-03-16 | 2020-12-16 | 富士電機株式会社 | 炭化珪素半導体素子の製造方法 |
US10249501B2 (en) | 2016-03-28 | 2019-04-02 | International Business Machines Corporation | Single process for liner and metal fill |
TWI713117B (zh) * | 2017-01-05 | 2020-12-11 | 聯華電子股份有限公司 | 製作金屬閘極結構的方法 |
US20180213608A1 (en) * | 2017-01-20 | 2018-07-26 | Applied Materials, Inc. | Electrostatic chuck with radio frequency isolated heaters |
CN107394062B (zh) * | 2017-07-20 | 2019-02-05 | 京东方科技集团股份有限公司 | 一种有机发光二极管显示面板及其制作方法、显示装置 |
US10453747B2 (en) * | 2017-08-28 | 2019-10-22 | Globalfoundries Inc. | Double barrier layer sets for contacts in semiconductor device |
DE102017219425B3 (de) * | 2017-10-30 | 2018-02-22 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Nanostrukturierte Titan-Mehrschichtelektrode |
US10734308B2 (en) * | 2018-11-20 | 2020-08-04 | Nanya Technology Corporation | Semiconductor device and method for manufacturing the same |
WO2020131296A1 (en) * | 2018-12-21 | 2020-06-25 | Applied Materials, Inc. | Processing system and method of forming a contact |
US11670485B2 (en) * | 2019-08-20 | 2023-06-06 | Applied Materials, Inc. | Methods and apparatus for depositing aluminum by physical vapor deposition (PVD) |
CN110565056B (zh) * | 2019-09-19 | 2021-03-30 | 广东工业大学 | 一种5g金属/陶瓷复合电路板及其制备方法 |
US20230017383A1 (en) * | 2021-07-14 | 2023-01-19 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
US20230134596A1 (en) * | 2021-10-29 | 2023-05-04 | Texas Instruments Incorporated | Metal stack to improve stack thermal stability |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6043481A (ja) * | 1983-08-19 | 1985-03-08 | 旭硝子株式会社 | スパツタリング法及びその装置 |
JPH0729848A (ja) * | 1993-07-07 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
JPH07193025A (ja) * | 1993-11-22 | 1995-07-28 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
Family Cites Families (97)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3437864A (en) * | 1966-08-29 | 1969-04-08 | Boeing Co | Method of producing high temperature,low pressure plasma |
FR1534917A (fr) * | 1967-06-22 | 1968-08-02 | Alcatel Sa | Perfectionnements à l'obtention de dépôts par pulvérisation cathodique |
US3649502A (en) * | 1969-08-14 | 1972-03-14 | Precision Instr Co | Apparatus for supported discharge sputter-coating of a substrate |
FR2082217A5 (en) * | 1970-03-06 | 1971-12-10 | Cit Alcatel | Substrate coating by cathodic sputtering andevaporation |
US3619403A (en) * | 1970-06-30 | 1971-11-09 | Lfe Corp | Gas reaction apparatus |
US3699034A (en) * | 1971-03-15 | 1972-10-17 | Sperry Rand Corp | Method for sputter depositing dielectric materials |
GB1399603A (en) * | 1971-09-07 | 1975-07-02 | Boswell R W Christiansen P J N | Ion sources |
BE788661A (fr) * | 1971-10-05 | 1973-03-12 | Lefe Corp | Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique |
US3875068A (en) * | 1973-02-20 | 1975-04-01 | Tegal Corp | Gaseous plasma reaction apparatus |
US3873884A (en) * | 1973-03-01 | 1975-03-25 | Perkin Elmer Corp | Electrodeless discharge lamp and power coupler therefor |
US4362632A (en) * | 1974-08-02 | 1982-12-07 | Lfe Corporation | Gas discharge apparatus |
US3879597A (en) * | 1974-08-16 | 1975-04-22 | Int Plasma Corp | Plasma etching device and process |
GB1550853A (en) * | 1975-10-06 | 1979-08-22 | Hitachi Ltd | Apparatus and process for plasma treatment |
US4233109A (en) * | 1976-01-16 | 1980-11-11 | Zaidan Hojin Handotai Kenkyu Shinkokai | Dry etching method |
JPS5384684A (en) | 1976-12-29 | 1978-07-26 | Fujitsu Ltd | Plasma etching device |
FR2475798A1 (fr) * | 1980-02-13 | 1981-08-14 | Commissariat Energie Atomique | Procede et dispositif de production d'ions lourds fortement charges et une application mettant en oeuvre le procede |
US4351712A (en) * | 1980-12-10 | 1982-09-28 | International Business Machines Corporation | Low energy ion beam oxidation process |
US4394712A (en) * | 1981-03-18 | 1983-07-19 | General Electric Company | Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers |
US4499655A (en) * | 1981-03-18 | 1985-02-19 | General Electric Company | Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire |
US4368092A (en) * | 1981-04-02 | 1983-01-11 | The Perkin-Elmer Corporation | Apparatus for the etching for semiconductor devices |
US4421592A (en) * | 1981-05-22 | 1983-12-20 | United Technologies Corporation | Plasma enhanced deposition of semiconductors |
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
JPS5863139A (ja) * | 1981-10-12 | 1983-04-14 | Nippon Telegr & Teleph Corp <Ntt> | 半導体結晶上への絶縁膜の形成法 |
US4431901A (en) * | 1982-07-02 | 1984-02-14 | The United States Of America As Represented By The United States Department Of Energy | Induction plasma tube |
JPS59186955A (ja) * | 1983-04-06 | 1984-10-23 | Toyo Kasei Kogyo Kk | β−メルカプトプロピオン酸エステルの製造法 |
US4717632A (en) * | 1983-08-22 | 1988-01-05 | Ovonic Synthetic-Materials Company, Inc. | Adhesion and composite wear resistant coating and method |
FR2555362B1 (fr) * | 1983-11-17 | 1990-04-20 | France Etat | Procede et dispositif de traitement d'un materiau semi-conducteur, par plasma |
JPS6164124A (ja) | 1984-09-06 | 1986-04-02 | Anelva Corp | 薄膜作成装置 |
US4668365A (en) * | 1984-10-25 | 1987-05-26 | Applied Materials, Inc. | Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition |
JPH0740468B2 (ja) * | 1984-12-11 | 1995-05-01 | 株式会社日立製作所 | 高周波プラズマ発生装置 |
US4663009A (en) | 1985-02-08 | 1987-05-05 | Hewlett-Packard Company | System and method for depositing plural thin film layers on a substrate |
WO1986006923A1 (en) * | 1985-05-03 | 1986-11-20 | The Australian National University | Method and apparatus for producing large volume magnetoplasmas |
US4686113A (en) * | 1985-12-18 | 1987-08-11 | Fairchild Semiconductor Corporation | Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method |
JPS62152183A (ja) * | 1985-12-25 | 1987-07-07 | Kyocera Corp | 太陽電池モジユ−ル |
US4668338A (en) * | 1985-12-30 | 1987-05-26 | Applied Materials, Inc. | Magnetron-enhanced plasma etching process |
DE3632340C2 (de) * | 1986-09-24 | 1998-01-15 | Leybold Ag | Induktiv angeregte Ionenquelle |
US4859908A (en) * | 1986-09-24 | 1989-08-22 | Matsushita Electric Industrial Co., Ltd. | Plasma processing apparatus for large area ion irradiation |
GB8629634D0 (en) * | 1986-12-11 | 1987-01-21 | Dobson C D | Reactive ion & sputter etching |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4853102A (en) | 1987-01-07 | 1989-08-01 | Hitachi, Ltd. | Sputtering process and an apparatus for carrying out the same |
JPS63317675A (ja) | 1987-06-18 | 1988-12-26 | Nec Corp | プラズマ気相成長装置 |
JP2602276B2 (ja) * | 1987-06-30 | 1997-04-23 | 株式会社日立製作所 | スパツタリング方法とその装置 |
US5018479A (en) * | 1987-09-24 | 1991-05-28 | Reserach Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer |
US4911814A (en) * | 1988-02-08 | 1990-03-27 | Nippon Telegraph And Telephone Corporation | Thin film forming apparatus and ion source utilizing sputtering with microwave plasma |
JPH0666287B2 (ja) * | 1988-07-25 | 1994-08-24 | 富士通株式会社 | 半導体装置の製造方法 |
US4918031A (en) * | 1988-12-28 | 1990-04-17 | American Telephone And Telegraph Company,At&T Bell Laboratories | Processes depending on plasma generation using a helical resonator |
GB8905075D0 (en) * | 1989-03-06 | 1989-04-19 | Nordiko Ltd | Electrode assembly and apparatus |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4990229A (en) * | 1989-06-13 | 1991-02-05 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
KR0170387B1 (ko) * | 1989-10-03 | 1999-03-30 | 제임스 조셉 드롱 | 고주파 반도체 웨이퍼 가공장치 및 방법 |
US5658828A (en) | 1989-11-30 | 1997-08-19 | Sgs-Thomson Microelectronics, Inc. | Method for forming an aluminum contact through an insulating layer |
DE3942964A1 (de) * | 1989-12-23 | 1991-06-27 | Leybold Ag | Einrichtung fuer die erzeugung eines plasmas |
US5635036A (en) | 1990-01-26 | 1997-06-03 | Varian Associates, Inc. | Collimated deposition apparatus and method |
DE69129081T2 (de) | 1990-01-29 | 1998-07-02 | Varian Associates | Gerät und Verfahren zur Niederschlagung durch einen Kollimator |
US5108570A (en) * | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
JPH0427163A (ja) | 1990-05-23 | 1992-01-30 | Fujitsu Ltd | 半導体装置およびその製造方法 |
KR960001601B1 (ko) * | 1992-01-23 | 1996-02-02 | 삼성전자주식회사 | 반도체 장치의 접촉구 매몰방법 및 구조 |
JPH0816266B2 (ja) | 1990-10-31 | 1996-02-21 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 高アスペクト比の穴に材料を付着させる装置 |
US5178739A (en) * | 1990-10-31 | 1993-01-12 | International Business Machines Corporation | Apparatus for depositing material into high aspect ratio holes |
JP2519364B2 (ja) * | 1990-12-03 | 1996-07-31 | アプライド マテリアルズ インコーポレイテッド | Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ |
JPH04280425A (ja) | 1991-03-07 | 1992-10-06 | Sony Corp | 配線形成方法 |
DE4200809C2 (de) * | 1991-03-20 | 1996-12-12 | Samsung Electronics Co Ltd | Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement |
TW520072U (en) * | 1991-07-08 | 2003-02-01 | Samsung Electronics Co Ltd | A semiconductor device having a multi-layer metal contact |
US5242860A (en) | 1991-07-24 | 1993-09-07 | Applied Materials, Inc. | Method for the formation of tin barrier layer with preferential (111) crystallographic orientation |
US5171412A (en) * | 1991-08-23 | 1992-12-15 | Applied Materials, Inc. | Material deposition method for integrated circuit manufacturing |
EP0551117A2 (en) * | 1992-01-08 | 1993-07-14 | Mitsubishi Denki Kabushiki Kaisha | Large scale integrated circuit device and thin film forming method and apparatus for the same |
US5225740A (en) * | 1992-03-26 | 1993-07-06 | General Atomics | Method and apparatus for producing high density plasma using whistler mode excitation |
US5231334A (en) * | 1992-04-15 | 1993-07-27 | Texas Instruments Incorporated | Plasma source and method of manufacturing |
US5240880A (en) * | 1992-05-05 | 1993-08-31 | Zilog, Inc. | Ti/TiN/Ti contact metallization |
US5406123A (en) * | 1992-06-11 | 1995-04-11 | Engineering Research Ctr., North Carolina State Univ. | Single crystal titanium nitride epitaxial on silicon |
US5371042A (en) * | 1992-06-16 | 1994-12-06 | Applied Materials, Inc. | Method of filling contacts in semiconductor devices |
US5397962A (en) * | 1992-06-29 | 1995-03-14 | Texas Instruments Incorporated | Source and method for generating high-density plasma with inductive power coupling |
JPH06192830A (ja) * | 1992-07-31 | 1994-07-12 | Texas Instr Inc <Ti> | 材料層の物理的蒸気沈着のための方法と装置 |
US5338423A (en) * | 1992-11-06 | 1994-08-16 | Zilog, Inc. | Method of eliminating metal voiding in a titanium nitride/aluminum processing |
JPH06168891A (ja) | 1992-11-30 | 1994-06-14 | Mitsubishi Electric Corp | 半導体製造装置 |
US5378660A (en) * | 1993-02-12 | 1995-01-03 | Applied Materials, Inc. | Barrier layers and aluminum contacts |
US5358616A (en) * | 1993-02-17 | 1994-10-25 | Ward Michael G | Filling of vias and contacts employing an aluminum-germanium alloy |
US5430355A (en) * | 1993-07-30 | 1995-07-04 | Texas Instruments Incorporated | RF induction plasma source for plasma processing |
US5391517A (en) * | 1993-09-13 | 1995-02-21 | Motorola Inc. | Process for forming copper interconnect structure |
US5443995A (en) * | 1993-09-17 | 1995-08-22 | Applied Materials, Inc. | Method for metallizing a semiconductor wafer |
US5435881A (en) * | 1994-03-17 | 1995-07-25 | Ogle; John S. | Apparatus for producing planar plasma using varying magnetic poles |
US5514908A (en) * | 1994-04-29 | 1996-05-07 | Sgs-Thomson Microelectronics, Inc. | Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries |
TW295677B (ja) * | 1994-08-19 | 1997-01-11 | Tokyo Electron Co Ltd | |
JPH08107087A (ja) * | 1994-10-06 | 1996-04-23 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US5523259A (en) * | 1994-12-05 | 1996-06-04 | At&T Corp. | Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer |
US5614437A (en) * | 1995-01-26 | 1997-03-25 | Lsi Logic Corporation | Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors |
US5644166A (en) * | 1995-07-17 | 1997-07-01 | Micron Technology, Inc. | Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts |
US6238533B1 (en) * | 1995-08-07 | 2001-05-29 | Applied Materials, Inc. | Integrated PVD system for aluminum hole filling using ionized metal adhesion layer |
US5962923A (en) | 1995-08-07 | 1999-10-05 | Applied Materials, Inc. | Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches |
JP2904263B2 (ja) * | 1995-12-04 | 1999-06-14 | 日本電気株式会社 | スパッタ装置 |
US5688718A (en) * | 1997-02-03 | 1997-11-18 | Taiwan Semiconductor Manufacturing Company Ltd | Method of CVD TiN barrier layer integration |
JPH10237639A (ja) | 1997-02-24 | 1998-09-08 | Anelva Corp | 集積回路用バリア膜を作成するスパッタリング装置 |
US5911113A (en) * | 1997-03-18 | 1999-06-08 | Applied Materials, Inc. | Silicon-doped titanium wetting layer for aluminum plug |
US5800688A (en) * | 1997-04-21 | 1998-09-01 | Tokyo Electron Limited | Apparatus for ionized sputtering |
US5948215A (en) * | 1997-04-21 | 1999-09-07 | Tokyo Electron Limited | Method and apparatus for ionized sputtering |
US5976327A (en) * | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
-
1995
- 1995-08-07 US US08/511,825 patent/US5962923A/en not_active Expired - Lifetime
-
1996
- 1996-04-05 US US08/628,835 patent/US6217721B1/en not_active Expired - Fee Related
- 1996-08-02 EP EP96305722A patent/EP0758148A3/en not_active Withdrawn
- 1996-08-07 JP JP20863696A patent/JP3193875B2/ja not_active Expired - Fee Related
- 1996-08-07 KR KR1019960032822A patent/KR100442023B1/ko not_active IP Right Cessation
- 1996-08-07 KR KR1019960032821A patent/KR100489916B1/ko not_active IP Right Cessation
-
1997
- 1997-10-06 US US08/944,140 patent/US6313027B1/en not_active Expired - Lifetime
- 1997-10-06 US US08/939,741 patent/US6136095A/en not_active Expired - Lifetime
-
2001
- 2001-04-11 JP JP2001113150A patent/JP2001358091A/ja active Pending
-
2002
- 2002-03-06 US US10/092,664 patent/US20020089027A1/en not_active Abandoned
-
2004
- 2004-09-17 KR KR1020040074509A patent/KR100512155B1/ko not_active IP Right Cessation
-
2008
- 2008-07-14 JP JP2008183118A patent/JP2008261059A/ja active Pending
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6043481A (ja) * | 1983-08-19 | 1985-03-08 | 旭硝子株式会社 | スパツタリング法及びその装置 |
JPH0729848A (ja) * | 1993-07-07 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
JPH07193025A (ja) * | 1993-11-22 | 1995-07-28 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
EP0758148A2 (en) | 1997-02-12 |
US20020089027A1 (en) | 2002-07-11 |
KR100489916B1 (ko) | 2005-09-15 |
KR100442023B1 (ko) | 2004-10-25 |
US5962923A (en) | 1999-10-05 |
JP2001358091A (ja) | 2001-12-26 |
JPH09162293A (ja) | 1997-06-20 |
KR970072101A (ko) | 1997-11-07 |
US6217721B1 (en) | 2001-04-17 |
JP3193875B2 (ja) | 2001-07-30 |
US6313027B1 (en) | 2001-11-06 |
KR100512155B1 (ko) | 2005-09-05 |
EP0758148A3 (en) | 1999-08-18 |
KR970013059A (ko) | 1997-03-29 |
US6136095A (en) | 2000-10-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3193875B2 (ja) | 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置 | |
US9991157B2 (en) | Method for depositing a diffusion barrier layer and a metal conductive layer | |
US6391776B1 (en) | Method of depositing a copper seed layer which promotes improved feature surface coverage | |
US6238533B1 (en) | Integrated PVD system for aluminum hole filling using ionized metal adhesion layer | |
US6793779B2 (en) | Sputtering method for filling holes with copper | |
JP2009010434A (ja) | 低温で基板のステップカバレージを改良する方法及び装置 | |
KR100522899B1 (ko) | 배리어층,배리어층을포함하는구조체및구조체제조방법 | |
EP0799903A2 (en) | Methods of sputtering a metal onto a substrate and semiconductor processing apparatus | |
JP2000174026A (ja) | 半導体フィ―チャの低温銅リフロ―を改善する構造と方法 | |
JP2004531053A (ja) | 銅バイアにおける高抵抗バリア原子薄層 | |
EP0818817A2 (en) | Aluminium hole filling using ionized metal adhesion layer | |
JPH09120991A (ja) | 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080716 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080807 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101209 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101214 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111215 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20111215 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120308 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120313 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20120830 |