JP7277585B2 - 処理システム及び接点を形成する方法 - Google Patents

処理システム及び接点を形成する方法 Download PDF

Info

Publication number
JP7277585B2
JP7277585B2 JP2021534955A JP2021534955A JP7277585B2 JP 7277585 B2 JP7277585 B2 JP 7277585B2 JP 2021534955 A JP2021534955 A JP 2021534955A JP 2021534955 A JP2021534955 A JP 2021534955A JP 7277585 B2 JP7277585 B2 JP 7277585B2
Authority
JP
Japan
Prior art keywords
process chamber
chamber
layer
source
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021534955A
Other languages
English (en)
Other versions
JP2022513994A (ja
Inventor
ゴラフ タレハ,
敬 倉富
アヴゲリノス ヴィー. ジェラトス,
シャンミン タン,
サンジェイ ナタラジャン,
ケイヴァン カシェフィザデ,
チョーポー チェン,
チエンシン レイ,
シャシャンク シャルマ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022513994A publication Critical patent/JP2022513994A/ja
Application granted granted Critical
Publication of JP7277585B2 publication Critical patent/JP7277585B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

[0001] 本開示の実施形態は、広くは、装置及び方法に関し、特に、処理システム及び接点を形成する方法に関する。
[0002] トランジスタは、現代のデジタルプロセッサ及びメモリデバイスの基本的なデバイス要素であり、高電力電子機器に応用されている。現在、種々の用途に使用され得る様々なトランジスタの設計又は種類が存在する。様々なトランジスタの種類には、例えば、バイポーラ接合トランジスタ(BJT)、接合電界効果トランジスタ(JFET)、金属-酸化物半導体電界効果トランジスタ(MOSFET)、垂直チャネル又はトレンチ電界効果トランジスタ、及びスーパージャンクション又はマルチドレイントランジスタが含まれる。トランジスタのMOSFET族内に出現したトランジスタの1つの種類は、フィン電界効果トランジスタ(FinFET)である。
[0003] FinFETは、バルク半導体基板、例えばシリコン基板上に製造することができ、基板の表面に沿って長さ方向に延在し且つ基板表面に垂直な高さ方向に延在するフィン状構造を備える。フィンは、例えば250ナノメートル未満の狭幅を有する。フィンは、絶縁層を通過することができる。導電性ゲート材料及びゲート絶縁体を含むゲート構造を、フィンのある領域にわたり形成することができる。フィンの上側部分は、ゲート構造の両側にドープされて、ゲートに隣接するソース/ドレイン領域を形成する。
[0004] FinFETは、相補的なMOSFETをより小さいサイズにスケーリングするために好ましい静電特性を有する。フィンは三次元構造であるため、トランジスタのチャネルはフィンの3つの表面上に形成できるので、FinFETは、基板上に占有された所与の表面積に対して高電流スイッチング能力を示すことができる。チャネルとデバイスを基板面から上げることができるので、従来のより平らなMOSFETと比較して、隣接するデバイス間の電界結合を減らすことができる。
[0005] 半導体の設計、製造、及び運用における重要な課題は接触抵抗である。例えば、FinFETデバイスのソース領域及びドレイン領域は、ソース/ドレイン接点トレンチ(contact trench)を形成するためのエッチング工程によって浸食され、接触抵抗の増加をもたらし得る。接触抵抗の増大の結果、半導体基板上に形成されたトランジスタ及び他のデバイス構造を含む回路デバイスの性能が低下する。
[0006] したがって、接触抵抗を低減させた接点が必要とされている。
[0007] 本開示の実施形態は、広くは、接点を形成するための処理システム及び方法に関する。処理システムは、基板のソース/ドレイン領域を堆積、エッチング、及び/又はアニーリングするように構成された複数のプロセスチャンバを含む。該方法は、ソース/ドレイン領域の上に、ドープされた半導体層を堆積させること、トレンチ内にアンカー層を形成すること、及びトレンチ内に導体を堆積させることを含む。接点を形成する方法は、集積工程を使用することによって、接触抵抗を減少させることをもたらし、これによって、ソース/ドレイン接点形成の様々な動作が、同じ処理システム内で実行され得る。
[0008] 一実施形態では、システムコントローラ、第1のプロセスチャンバ、第2のプロセスチャンバ、及び第4のプロセスチャンバを含む処理システムが提供される。コントローラは、第1のプロセスチャンバに、基板のソース/ドレイン領域の露出面上に、ドープされた半導体層及び金属ケイ素化合物層を堆積させるように構成されている。ソース/ドレイン領域は、ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出される。コントローラは、第2のプロセスチャンバに、金属ケイ素化合物層及びトレンチの側壁の上にアンカー層を形成させるように構成されている。コントローラは、第3のプロセスチャンバに、導体でトレンチを満たすことを実行させるように構成されている。コントローラは、第4のプロセスチャンバに、トレンチ内の導体をリフローさせるよう、基板を加熱することを実行させるように構成されている。ソース/ドレイン領域は、第1のドーパント濃度を有する。ドープされた半導体層は、第1のドーパント濃度よりも高い第2のドーパント濃度を有する。
[0009] 別の一実施形態では、複数のプロセスチャンバを含む処理システムが提供される。複数のプロセスチャンバは、基板のソース/ドレイン領域の露出面から汚染物質を除去するように構成された第1のプロセスチャンバを含む。ソース/ドレイン領域は、ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出される。複数のプロセスチャンバは更に、ソース/ドレイン領域の上に、ドープされた半導体層及び金属ケイ素化合物層を連続して堆積させるように構成された第2のプロセスチャンバ、金属ケイ素化合物層及びトレンチの側壁上にバリア層を堆積させるように構成された第3のプロセスチャンバ、バリア層の上にアンカー層を堆積させるように構成された第4のプロセスチャンバ、導体でトレンチを満たすように構成された第5のプロセスチャンバ、導体の上に過積層(overburden layer)を堆積させるように構成された第6のプロセスチャンバ、及び、導体をトレンチ内でリフローさせるよう、基板を加熱するように構成された第7のプロセスチャンバを含む。ソース/ドレイン領域は、第1のドーパント濃度を有する。ドープされた半導体層は、第1のドーパント濃度よりも高い第2のドーパント濃度を有する。
[0010] 更に別の一実施形態では、接点を形成する方法が提供される。該方法は、基板のソース/ドレイン領域の露出面上に、ドープされた半導体層を堆積させることを含む。ソース/ドレイン領域は、ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出される。該方法は更に、金属ケイ素化合物層をドープされた半導体層上に堆積させること、トレンチの金属ケイ素化合物層及び側壁の上にアンカー層を形成すること、導体でトレンチを満たすこと、並びに、導体をトレンチ内でリフローさせるように、基板を加熱することを含む。ソース/ドレイン領域は、第1のドーパント濃度を有する。ドープされた半導体層は、第1のドーパント濃度よりも高い第2のドーパント濃度を有する。
[0011] 上述の本開示の特徴を詳しく理解し得るように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
[0012] 一実施形態による、接点を形成するための方法の動作のフロー図である。 [0013] 図2A~図2Dは、一実施形態による、図1の方法の種々の段階中の基板の様々な図を示す。 図2A~図2Dは、一実施形態による、図1の方法の種々の段階中の基板の様々な図を示す。 図2A~図2Dは、一実施形態による、図1の方法の種々の段階中の基板の様々な図を示す。 図2A~図2Dは、一実施形態による、図1の方法の種々の段階中の基板の様々な図を示す。 [0014] 一実施形態による基板の断面図を示す。 [0015] 一実施形態による、マルチチャンバ処理システムの概略上面図を示す。
[0016] 理解を容易にするために、図面に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれ得ると考えられている。
[0017] 本明細書に開示される実施形態は、処理システム及び接点を形成する方法を含む。様々な実施形態では、該方法が、減圧を壊すことなしに処理システム内で以下の動作を実行することを含む。すなわち、基板のトランジスタのソース/ドレイン領域であって、上に形成された誘電体材料内に形成されたトレンチを通して露出されるソース/ドレイン領域の露出面上で予洗浄工程を実行すること、エピキャシタル堆積工程によって、露出されたソース/ドレイン上にケイ素化合物層を形成すること、原子層堆積工程によってケイ素化合物層の上にバリア/ライナ層を形成すること、物理的気相堆積工程によってバリア/ライナ層上にアンカー層を形成すること、化学気相堆積工程によって導体でトレンチを満たすこと、及び基板をアニーリングすることである。集積工程は、低減された抵抗及びボイドを有するコバルト接点を形成することができ、それによって、高性能論理トランジスタを提供する。本明細書で開示される実施形態は、低減された接触抵抗を有する接点を生成するのに有用であり得るが、これに限定されるものではない。
[0018] 以上、本開示で説明される技法の概要を広く説明した。本開示の概念は、フィン電界効果トランジスタ(FinFET)、水平ゲートオールアラウンド(HGAA)FET、垂直ゲートオールアラウンド(VGAA)FET、ナノワイヤチャネルFET、歪み半導体デバイスなどのような、平面トランジスタデバイス又は三次元トランジスタデバイス向けに実施することができると考えられる。
[0019] 本明細書で使用される場合、「約」と言う用語は、公称値からの+/-10%のばらつきを指す。そのようなばらつきは、本明細書で提供される任意の値に含まれ得ることが理解されるべきである。
[0020] 図1は、一実施形態による、接点を形成するための方法100の動作のフロー図である。図2A~図2Dは、一実施形態による、方法100の種々の段階中の基板200の様々な図を示している。方法100の動作は、図1及び図2A~図2Dと併せて説明されるが、当該方法の動作を任意の順序で実行するように構成された任意のシステムが、本明細書で説明される実施形態の範囲内に含まれることを、当業者は理解するだろう。方法100は、本明細書に提示されていない任意の他の半導体構造を形成するために利用され得ることに留意されたい。半導体デバイス及び関連する構造を形成するための完全な工程は、図面では示されておらず、又は本明細書で説明されないことを、当業者は理解するべきである。接点は、トランジスタ又は他の半導体デバイスの部分であり得る。
[0021] 方法100は、動作102で、基板200をプロセスチャンバの中に提供することによって開始する。プロセスチャンバは、エッチングチャンバであってよい。基板200は、当該技術分野で使用される任意のものであり、任意の半導体材料、絶縁材料、又は金属材料を含む。図2Aで示されているように、基板200は、半導体層202、複数の半導体構造204、第1の誘電体材料206、ソース/ドレイン領域208、接点エッチング停止層(CESL)210、及び第2の誘電体材料212を含む。複数の半導体構造204(2つのみが図示されている)は、半導体層202から延在する。半導体構造204は、半導体フィンであってよい。半導体層202は、シリコン(Si)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、又はヒ化ガリウム(GaAs)やインジウムヒ化ガリウム(InGaAs)などのIII/V族化合物半導体から製造され得る。半導体層202は、p型又はn型ドーパントでドープすることができる。一実施形態では、半導体層202が、ホウ素(B)などのp型ドーパントでドープされる。別の一実施形態では、半導体層202が、リン(P)又はヒ素(As)などのn型ドーパントでドープされる。半導体構造204は、半導体層202と同じ材料から製造される。一実施形態では、半導体構造204が、半導体層202と一体化される。
[0022] 第1の誘電体材料206は、半導体層202上の半導体構造204の間に配置される。第1の誘電体材料206は、シャロートレンチアイソレーション(STI)領域であってよく、二酸化ケイ素(SiO2)、窒化ケイ素(Si3N4)、炭窒化ケイ素(SiCN)、又は他の適切な誘電体材料から製造され得る。
[0023] 一実施例では、ソース/ドレイン領域208は、ソース領域又はドレイン領域である。別の一実施例では、図2Aで示されているように、ソース/ドレイン領域208が、マージされた(merged)ソース/ドレイン領域を含む。いずれの実施例でも、ソース/ドレイン領域208は、半導体構造204上でエピタキシャル成長した半導体材料から製造される。ソース/ドレイン領域208は、Si、Ge、SiGe、又はGaAsやInGaAsなどのIII/V族化合物半導体から製造される。ソース/ドレイン領域208は、p型又はn型ドーパントでドープされ得る。一実施例では、、ソース/ドレイン領域208が、Bなどのp型ドーパントでドープされる。代替的に、ソース/ドレイン領域208は、P又はAsなどのn型ドーパントでドープされる。ソース/ドレイン領域208は、半導体構造204上にエピタキシャル成長し得る。種々の表面平面上の種々の成長速度のために、ファセットが形成されて、ソース/ドレイン領域208がダイヤモンド形状を有することをもたらし得る。
[0024] CESL210は、第1の誘電体材料206及びソース/ドレイン領域208上に形成される。CESL210は、SiO2、Si3N4、SiCN、又はそれらの組み合わせなどの、誘電体材料から製造される。第2の誘電体材料212は、CESL210の上に配置される。第2の誘電体材料212は、中間層誘電体であってよく、SiO2、Si3N4、SiCN、又はそれらの組み合わせなどの、誘電体材料から製造され得る。基板200は、半導体構造204の上に配置され且つ半導体構造204とは垂直に延在する複数のゲート(図示せず)を更に含み得る。
[0025] 動作104では、図2Bで示されているように、トレンチ214が第2の誘電体材料212内に形成されて、各ソース/ドレイン208を露出させる。トレンチ214は、各ソース/ドレイン領域208の上に配置された第2の誘電体材料212及びCESL210の一部分を除去することによって形成され、各ソース/ドレイン領域208の表面216が露出される。トレンチ214は、任意の適切な除去工程によって形成され得る。一実施例では、トレンチ214が、プラズマエッチング工程によって形成される。
[0026] 単一のソース/ドレイン領域208が、各トレンチ214内で露出される。代替的に、図2Bで示されているように、マージされたソース/ドレイン領域208が、各トレンチ214内で露出される。ソース/ドレイン領域208の一部分は、トレンチ214の形成中に除去され得る。浸食された(eroded)ソース/ドレイン領域208は、増加した接触抵抗を有する。トレンチ214は、プロセスチャンバ内で形成される。プロセスチャンバは、反応性イオンエッチング(RIE)チャンバ又は他の適切なエッチングチャンバであってよい。
[0027] 動作106では、ソース/ドレイン領域208の露出面216上で予洗浄工程が実行される。予洗浄工程は、ソース/ドレイン領域208の表面216上の炭素又は酸化物汚染物質などの任意の汚染物質を除去する。予洗浄工程は、ドライエッチング、湿式エッチング、又はそれらの組み合わせなどの、任意の適切なエッチング工程であってよい。一実施例では、予洗浄工程が、湿式エッチング工程と、それに続くドライエッチング工程とを含む。湿式エッチング工程は、アンモニア(NH3)又はフッ化水素(HF)液を利用し得る。ドライエッチング工程は、プラズマエッチング工程であってよく、フッ素又は水素を含有するエッチャント(etchant)を利用し得る。予洗浄工程は、ソース/ドレイン領域208の任意の部分を実質的に除去しない。
[0028] 予洗浄工程は、処理システムの第1のプロセスチャンバ内で実行される。処理システムは、動作102及び104からのプロセスチャンバと同じ又は異なる処理システムであってよい。一実施例では、予洗浄プロセスが、遠隔プラズマ源を使用してプロセスチャンバ内で実行される。予洗浄工程を実行するのに適した1つの例示的なプロセスチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なAKTIV Pre-Clean(商標)チャンバ又はSiCoNi(商標)洗浄チャンバである。代替的に、予洗浄工程は、誘導結合プラズマ(ICP)を使用するエッチングチャンバなどのエッチングチャンバ内で実行される。1つの例示的なエッチングチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials Inc.)から入手可能な改良型Decoupled Plasma Nitridation(DPN)チャンバである。しかし、予洗浄工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0029] 動作108では、図2Cで示されているように、ドープされた半導体層220が、露出されたソース/ドレイン領域208の面216上に形成される。ドープされた半導体層220は、選択的エピキャシタル堆積工程によって形成され得る。ドープされた半導体層220は、選択的エピキャシタル堆積工程の結果として、トレンチ214の側壁218上ではなく、ソース/ドレイン領域208の露出面、すなわちトレンチ214の底部上に形成される。ドープされた半導体層220は、ドープされた半導体層220内のドーパント濃度が、ソース/ドレイン領域208内のドーパント濃度よりも高いという点を除いて、ソース/ドレイン領域208と同じ材料から製造され得る。一実施形態では、ドープされた半導体層220が、ドーパントソーク(soak)工程によって形成される。ドーパントソーク工程中、ソース/ドレイン領域208の頂部は、例えば表面216から所定の深さまで、ドープされた半導体層220に変換される。ドープされた半導体層220は、約1Åから約200Åの範囲の厚さを有し得る。一実施形態では、ドープされた半導体層220内のドーパント濃度とソース/ドレイン領域208内のドーパント濃度との比が、約1.5:1から約10:1、例えば約2:1から約6:1の範囲内にある。一実施例では、ドープされた半導体層220内のドーパント濃度が、約1×1019原子/cm3から約1×1022原子/cm3である。ドープされた半導体層220内のドーパント濃度の増加は、接触抵抗を減少させる。
[0030] 選択的エピタキシャル堆積工程は、処理システムの第2のプロセスチャンバ内で実行される。一実施形態では、ドープされた半導体層220が、エピタキシャルチャンバ内で形成される。エピタキシャルチャンバの一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能な減圧(RP)Epiチャンバである。しかし、ドープされた半導体層220を形成するための選択的エピタキシャル堆積又はドーパントソーク工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0031] 動作110では、図2Cで示されているように、金属ケイ素化合物層222が、選択的エピキャシタル堆積工程によって、ドープされた半導体層220上に形成される。選択的エピキャシタル堆積工程の結果として、金属ケイ素化合物層222は、トレンチ214の側壁218上ではなく、ドープされた半導体層220、すなわちトレンチ214の底部上に形成される。金属ケイ素化合物層222は、チタンケイ素化合物(TiSi)、コバルトケイ素化合物(CoSi)、ルテニウムケイ素化合物(RuSi)、又は他の適切な金属ケイ素化合物を含んでよい。金属ケイ素化合物層222は、ドープされた半導体層220と同じプロセスチャンバ内で形成され得る。
[0032] 代替的に、図3で示されているように、ドープされた半導体層220及び金属ケイ素化合物層222は、露出されたソース/ドレイン領域208の面上に形成されたケイ素化合物層209によって置き替えることができる。一実施形態では、ケイ素化合物層209は、露出されたソース/ドレイン領域208の面上及び第2の誘電体材料212の表面216の上に共形(conformal)金属層を形成することによって形成される。共形金属層は、CVD工程、PECVD工程、高密度CVD工程、PVD工程、めっき工程、スパッタリング工程、蒸着工程、又は任意の適切な工程を使用して形成される高融点金属層であり得る。金属層は、コバルト(Co)、ニッケル(Ni)、チタン(Ti)、ルテニウム(Ru)、タンタル(Ta)、タングステン(W)、それらの合金、他の適切な金属ケイ素化合物、又はそれらの任意の組み合わせを含んでよい。金属層の幾つかの例には、TiSi、RuSi、ニッケルプラチナ(NiPt)合金、ニッケルパラジウム(NiPd)、ニッケルレニウム(NiRe)、チタンタンタル(TiTa)、又はチタンニオブ(TiNb)が含まれるが、これらに限定されるものではない。
[0033] 金属層が形成されると、基板200は、次いで、露出されたソース/ドレイン領域208が、金属層と反応してケイ素化合物層209を形成するように、アニーリング工程などによって加熱される。アニーリング工程は、金属層がソース/ドレイン領域208と接触している所であればどこでも、ケイ素化合物反応を生じさせる。使用される金属層に応じて、ケイ素化合物層209は、金属層のケイ素化合物であってよい。例えば、金属層がCoを含む場合、ケイ素化合物層209はCoSiを含む。アニーリング工程は、急速熱アニーリング(RTA)チャンバ内で実行され得る。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なVantage(登録商標)RADOX(商標)RTPチャンバ、又は他の適切なチャンバである。次いで、未反応金属層が、選択的エッチング工程によって除去されて、基板上にケイ素化合物層209を残す。
[0034] 図3で示されているように、ケイ素化合物層209が利用される実施形態では、任意選択的なキャップ層224が、ケイ素化合物層209上に形成され得る。図示されていないが、キャップ層224は、金属ケイ素化合物層222上に形成することもでき、キャップ層224を、金属ケイ素化合物層222と引き続いてキャップ層224上に形成されるバリア層225(代替的にライナ層とも呼ばれる)及び/又はアンカー層227との間に配置することができる。キャップ層224は、トレンチ214内に引き続いて堆積されるアンカー層227からの金属及び/又は引き続いて満たされる接点金属が、拡散し、下層のケイ素化合物層209及び/又はソース/ドレイン領域208と反応することを防止することができる。キャップ層224は、トレンチ214内に引き続いて満たされる接点金属とケイ素化合物層209との間の接着を改善するための接着層としても役立ち得る。
[0035] キャップ層224は、窒化物層であってよい。窒化物層は、TiN、Si3N4、又は金属窒化ケイ素を含み得るが、これらに限定されない。キャップ層224は、イリジウム(Ir)又はモリブデン(Mo)などの遷移金属を含有する金属材料を含み得る。一実施形態では、キャップ層224が、窒化工程によって形成された窒化物層である。この窒化工程は、窒素(N)原子がケイ素化合物層209の露出面に存在する原子と化学的に反応して、表面窒化物層(例えばキャップ層224)を形成するように、露出されたケイ素化合物層209を窒素含有プラズマ又は窒素含有周囲環境に曝露することを含み得る。幾つかの実施形態では、ソース/ドレイン領域208の上側部分にも窒化物領域が形成される。
[0036] 窒化工程は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能な改良型Decoupled Plasma Nitridation(DPN)チャンバなどの、誘導結合プラズマ(ICP)源を使用するプラズマチャンバ内で実行され得る。キャップ層224は、ALD工程、CVD工程、PECVD工程、HDP-CVD工程、低圧CVD(LPCVD)工程、PVD工程、又は任意の適切な堆積技法などの、任意の適切な堆積工程によっても形成され得ることが考えられる。キャップ層224がALD工程によって形成される場合、キャップ層224は、側壁218とケイ素化合物層209との両方の上に形成され得る。そのような場合、キャップ層224の堆積は、ALDチャンバ内で実行され得る。ALDチャンバの一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なOlympia(商標)ALDチャンバであるが、他の適切なチャンバも利用され得る。
[0037] 動作112では、図2Cで示されているように、任意選択的なバリア層225が、金属ケイ素化合物層222及びトレンチ214の側壁218上に形成される。キャップ層224が金属ケイ素化合物層222上に配置される実施形態では、バリア層225が、キャップ層224上に形成され、キャップ層224が、金属ケイ素化合物層222とバリア層225との間に配置されることをもたらす。図3は、バリア層225がキャップ層224とトレンチ214の側壁218との上に形成される一実施例を示している。
[0038] バリア層225は、キャップ層224と同じ材料から製造され得る。一実施形態では、バリア層225がTiNを含む。バリア層225は、ALD工程、CVD工程、PECVD工程、HDP-CVD工程、低圧CVD(LPCVD)工程、PVD工程、又は任意の適切な堆積技法などの、任意の適切な堆積工程によって形成され得る。バリア層225の堆積は、処理システムの第3のプロセスチャンバ内で実行される。一実施形態では、バリア層225が、ALD工程によって形成される。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なOlympia(商標)ALDチャンバであり、又は他の適切なチャンバも利用され得る。代替的には、バリア層225が、キャップ層224と同じプロセスチャンバ内で形成され得る。
[0039] 動作114では、図2C及び図3で示されているように、アンカー層227が、バリア層225の露出面上に任意選択的に形成される。バリア層225が使用されなかった実施形態では、アンカー層227が、金属ケイ素化合物層222(図2C)又はキャップ層224(図3)上に形成される。アンカー層227は、トレンチ214内に引き続いて満たされる接点金属とケイ素化合物層209及び/又はソース/ドレイン領域208との間の接着を更に改善する。アンカー層227は、Co、W、Cu、Ru、アルミニウム(Al)、金(Au)、銀(Ag)、それらの合金など、又はそれらの組み合わせなどの、金属から製造することができ、CVD工程、ALD工程、PVD工程、ECP工程、又は任意の適切な堆積技法によって堆積され得る。
[0040] アンカー層227の堆積は、処理システムの第4のプロセスチャンバ内で実行される。一実施形態では、アンカー層227が、PVDチャンバ内で形成される。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なCirrus(商標)RT PVDチャンバである。しかし、アンカー層227を形成するための堆積工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0041] 動作116では、図2D及び図3で示されているように、導体226が、トレンチ214内に形成されてトレンチ214を満たす。シード層229が、アンカー層227と導体226との間に配置され得る。図2Dで示されているように、シード層229は、アンカー層227の露出面上に形成され得る。シード層229と導体226とは、同じ又は異なる材料から製造され得る。導体226及びシード層229用の適切な材料には、Co、Cu、W、Al、Ru、Ti、Ag、プラチナ(Pt)、パラジウム(Pa)、これらの合金、これらの誘導体、又はこれらの任意の組み合わせが含まれるが、それらに限定されるものではない。一実施形態では、導体226がCoから製造される。導体226及びシード層229は、CVD工程、PECVD工程、ALD工程、PEALD工程、PVD工程、めっき工程、ECP工程、又は任意の適切な堆積技法などの、1以上の堆積工程を使用して、アンカー層227上に形成され得る。
[0042] 導体226の形成は、処理システムの第5のプロセスチャンバ内で実行される。一実施形態では、導体226が、CVDチャンバ内で形成される。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なVolta(商標)CVDチャンバである。しかし、導体226を形成するための堆積工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0043] 幾つかの実施形態では、導体226でトレンチ214が満たされた後に、動作118で、過積層231が、導体226及び第2の誘電体材料212の露出面上に形成される。過積層231は、導体226と同じ材料を含み得る金属を含んでよい。一実施形態では、過積層231がCoを含む。過積層231は、所定の厚さに達するまで、導体226及び第2の誘電体材料212の露出面上に形成され得る。過積層が形成された後に、基板200は、上述された熱アニーリング工程によって所定の温度まで加熱されて、過積層231及び導体226の金属をリフローさせ、それによって、導体226内の継ぎ目(seam)又はボイドを排除する。代替的に、熱アニーリング工程は、過積層の形成の前に実行され得る。
[0044] 過積層231は、PVD工程、ALD工程、CVD工程、PECVD工程、HDP-CVD工程、低圧CVD(LPCVD)工程などの、任意の適切な堆積技法によって形成され得る。過積層231の堆積は、処理システムの第6のプロセスチャンバ内で実行され得る。一実施形態では、過積層231がPVDチャンバ内で形成される。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なVersa(商標)XT PVDチャンバである。代替的に、過積層の堆積は、処理システムの第4のプロセスチャンバ内で実行され得る。しかし、過積層を形成するための堆積工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0045] 動作120では、基板200が、熱アニーリング工程中に所定の温度まで加熱される。熱アニーリング工程は、摂氏約200度から摂氏約800度、例えば摂氏約300度から摂氏約600度の範囲の温度で実行され得る。熱アニーリング工程中に、トレンチ214内の導体226の金属をリフローさせて、導体226内の継ぎ目又はボイドを排除することができる。過積層231はまた、導体226内に残された任意の継ぎ目又はボイドが存在する場合、リフローさせてトレンチ214を更に満たすこともできる。熱アニーリング工程はまた、粒径を拡大し、導体226(例えばCo)を純化し、及び/又は抵抗を低減させることもできる。その結果として、高品質でボイドの無い導体226が得られる。
[0046] 熱アニーリング工程は、処理システムの第7のプロセスチャンバ内で実行される。一実施形態では、熱アニーリング工程が、アニーリングチャンバ内で実行される。1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なPyra(商標)アニーリングチャンバである。別の1つの例示的なチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なVantage(登録商標)RADOX(商標)RTPチャンバなどの急速熱アニーリング(RTA)チャンバである。しかし、熱アニーリング工程を実行するために、他の製造業者からの他の適切に構成されたチャンバもまた実装され得ると考えられる。
[0047] 動作122では、化学機械研磨(CMP)などの平坦化工程を使用することによって、余剰の導体226(及び使用される場合には過積層231)が除去され得る。平坦化工程は、第2の誘電体材料212の上面の上方から過積層231及び余剰の導体226を除去する。したがって、導体226、シード層229(使用される場合)、アンカー層227、バリア層225、及び第2の誘電体材料212の上面は、同一平面上にあり得る。形成された導電性特徴は、接点やプラグなどと呼ぶことができる。基板200は、トランジスタを完成させるために使用される更なる工程を受けることができる。
[0048] 一実施形態では、平坦化工程が、CMPシステム内で実行される。1つの例示的なシステムは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なReflexion(登録商標)LK Prime(商標)CMPシステムである。しかし、導体226を形成するための堆積工程を実行するために、他の製造業者からの他の適切に構成されたCMPシステムもまた実装され得ると考えられる。
[0049] 本明細書で提供される教示に従って適切に改変され得る処理システムの例には、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能なEndura(登録商標)、Producer(登録商標)、若しくはCentura(登録商標)集積処理システム、又は他の適切な処理システムが含まれる。他の処理システム(他の製造業者からのものを含む)が、本明細書で説明される態様から利益を受けるように適合され得ることも考えられる。
[0050] 図4は、一実施形態による、マルチチャンバ処理システム400の概略上面図を示している。マルチチャンバ処理システム400は、上述された方法100などの様々な半導体処理方法を、1以上の基板上で実行するように構成されている。図示されているように、マルチチャンバ処理システム400は、複数のプロセスチャンバ402、414、416、第1の移送チャンバ404、パススルー(pass-through)チャンバ406、第2の移送チャンバ410、ロードロックチャンバ412、ファクトリインターフェース420、1以上のポッド430、及びシステムコントローラ480を含む。
[0051] プロセスチャンバ402のそれぞれは、第1の移送チャンバ404に結合されている。第1の移送チャンバ404はまた、第1の対(pair)のパススルーチャンバ406にも結合されている。第1の移送チャンバ404は、パススルーチャンバ406とプロセスチャンバ402との間で基板を移送するための中央に配置された移送ロボット(図示せず)を有する。パススルーチャンバ406は、第2の移送チャンバ410に結合されている。第2の移送チャンバ410は、予洗浄工程(動作106)を実行するように構成されたプロセスチャンバ414と、ケイ素化合物層(動作108/110)を実行するように構成されたプロセスチャンバ416と、に結合されている。第2の移送チャンバ410は、ロードロックチャンバ412とプロセスチャンバ414及び/又はプロセスチャンバ416との間で基板を移送するための中央に配置された移送ロボット(図示せず)を有する。ファクトリインターフェース420は、ロードロックチャンバ412によって第2の移送チャンバ410に連結されている。ファクトリインターフェース420は、ロードロックチャンバ412の反対側の1以上のポッド430に結合されている。ポッド430は、典型的には、クリーンルームからアクセス可能な前方開口型統一ポッド(フープ:FOUP)である。
[0052] 幾つかの実施形態では、トレンチ形成工程(例えば動作104)を実行するために、基板がエッチングチャンバに提供される。エッチングチャンバは、マルチチャンバ処理システム400の一部であってよく、又は、エッチングチャンバは、個別の処理ツールの一部であってもよい。次いで、基板はプロセスチャンバ414に移送される。一実施形態によれば、基板は、プロセスチャンバ414に移送される前にポッド430に移送される。
[0053] 基板は、プロセスチャンバ414に移送され、そこで、基板のトランジスタのソース/ドレイン領域の露出面からカーボン又は酸化物汚染物質などの汚染物質を除去するために、予洗浄工程(例えば動作106)が実行される。次いで、基板は、プロセスチャンバ416に移送され、そこで、ドープされた半導体層及び金属ケイ素化合物層が堆積される(例えば動作108及び110)(又は代替的な一実施形態では、ケイ素化合物層209が堆積される)。幾つかの実施形態では、プロセスチャンバ414及び/又はプロセスチャンバ416が、1以上のプロセスチャンバ402のうちのいずれかと交換される。
[0054] 次いで、基板は、1以上のプロセスチャンバ402に移送され、その中で、バリア層が堆積され(例えば、TiNバリア層のALDなどの動作112)、アンカー層が堆積され(例えば、Coアンカー層のPVDなどの動作114)、導体でトレンチが満たされ(例えば、Co導体のCVDなどの動作116)、過積層が堆積され(例えば、過積層のPVDなどの動作118)、アニーリング工程が基板上で実行される(例えば動作120)。これらの動作106、108、110、112、114、116、118、及び120の全ては、同じ処理システム内で実行されるので、基板が様々なチャンバに移送されるときに減圧が壊されない。それは、汚染の機会を低減させ、堆積されるエピタキシャル膜の品質を改善する。
[0055] システムコントローラ480は、処理システム400に結合される。システムコントローラ480は、処理システム400又はその構成要素を制御する。例えば、システムコントローラ480は、処理システム400のチャンバ402、404、406、410、412、414、416、並びに/又はファクトリインターフェース420及び/若しくはポッド430の直接制御を使用して、或いは、チャンバ402、404、406、410、412、414、416、並びに/又はファクトリインターフェース420及び/若しくはポッド430に関連付けられたコントローラを制御することによって、処理システムの動作を制御する。動作では、システムコントローラ480が、処理システム400の性能を調整するために、それぞれのチャンバからのデータ収集及びフィードバックを可能にする。
[0056] 図示されているように、システムコントローラ480は、中央処理装置(CPU)482、メモリ484、及びサポート回路486を含む。CPU482は、工業設定で使用される任意の形態の汎用プロセッサのうちの1つであってよい。メモリ484は、非一時的なコンピュータ可読媒体及び/又は機械可読ストレージデバイスを含み得る。メモリ484は、CPU482によってアクセス可能であり、ローカル若しくはリモートの、ランダムアクセスメモリ、リードオンリーメモリ、フロッピーディスク、ハードディスク、又は任意の他の形態のディジタルストレージなどの、1以上のメモリであってよい。サポート回路486は、CPU482に結合され、キャッシュ、クロック回路、入力/出力サブシステム、電源などを含み得る。システムコントローラ480は、メモリ484内に記憶された方法100の動作を実行するように構成されている。本開示で開示される様々な実施形態は、一般的に、例えば、コンピュータプログラム製品又はソフトウェアルーチンとしてメモリ484(若しくは特定のプロセスチャンバのメモリ)に記憶されたコンピュータ指示命令コードを実行することによって、CPU482の制御の下で実施され得る。すなわち、コンピュータプログラム製品は、実体的には、メモリ484(又は非一時的なコンピュータ可読媒体又はマシン可読ストレージデバイス)上で具現化される。コンピュータ指示命令コードがCPU482によって実行されると、CPU482は、チャンバを制御して、様々な実施形態に従って動作を実行する。
[0057] 上述のように、接点及び処理システムを形成する方法が本明細書で提供される。処理システムは、基板のソース/ドレイン領域を堆積、エッチング、及び/又はアニーリングするように構成された複数のプロセスチャンバを含む。この方法は、ソース/ドレイン領域上に、ドープされた半導体層を堆積すること、トレンチ内にアンカー層を形成すること、及びトレンチ内に導体を堆積させることを含む。
[0058] 接点を形成する方法は、集積工程を使用することによって、接触抵抗を低減させることをもたらし、これによって、ソース/ドレイン接点形成の様々な動作を同じ処理システム内で行うことが可能になる。したがって、基板が様々なプロセスチャンバ間を移動する間に減圧が破壊されず、汚染の機会が減少し、堆積される層の品質が改善される。
[0059] 以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (19)

  1. システムコントローラ、
    第1のプロセスチャンバであって、前記システムコントローラは、前記第1のプロセスチャンバに、基板のソース/ドレイン領域の露出面上に、ドープされた半導体層及び金属ケイ素化合物層を堆積させるように構成され、前記ソース/ドレイン領域は、前記ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出され、前記ソース/ドレイン領域は、第1のドーパント濃度を有し、前記ドープされた半導体層は、前記第1のドーパント濃度よりも高い第2のドーパント濃度を有する、第1のプロセスチャンバ、
    第2のプロセスチャンバであって、前記システムコントローラは、前記第2のプロセスチャンバに、前記金属ケイ素化合物層及び前記トレンチの側壁の上にアンカー層を形成させるように構成されている、第2のプロセスチャンバ、
    第3のプロセスチャンバであって、前記システムコントローラは、前記第3のプロセスチャンバに、導体で前記トレンチを満たすことを実行させるように構成されている、第3のプロセスチャンバ、並びに
    第4のプロセスチャンバであって、前記システムコントローラは、前記第4のプロセスチャンバに、前記トレンチ内の前記導体をリフローさせるよう、前記基板を加熱することを実行させるように構成されている、第4のプロセスチャンバを備える、処理システム。
  2. 第5のプロセスチャンバであって、前記システムコントローラは、前記第5のプロセスチャンバに、前記金属ケイ素化合物層の上にバリア層を堆積させるように構成されている、第5のプロセスチャンバを更に備える、請求項1に記載の処理システム。
  3. 前記システムコントローラは、前記第5のプロセスチャンバに、前記金属ケイ素化合物層の上にキャップ層を堆積させるように構成されている、請求項2に記載の処理システム。
  4. 第5のプロセスチャンバであって、前記システムコントローラは、前記第5のプロセスチャンバに、前記アンカー層と前記金属ケイ素化合物層との間にキャップ層を堆積させるように構成されている、第5のプロセスチャンバを更に備える、請求項1に記載の処理システム。
  5. 第6のプロセスチャンバであって、前記システムコントローラは、前記第6のプロセスチャンバに、前記導体の上に過積層を堆積させるように構成されている、第6のプロセスチャンバを更に備える、請求項1に記載の処理システム。
  6. 前記アンカー層、前記導体、及び前記過積層は、コバルト(Co)を含む、請求項5に記載の処理システム。
  7. 基板のソース/ドレイン領域の露出面から汚染物質を除去するように構成された第1のプロセスチャンバであって、前記ソース/ドレイン領域は、前記ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出される、第1のプロセスチャンバ、
    前記ソース/ドレイン領域の上に、ドープされた半導体層及び金属ケイ素化合物層を連続して堆積させるように構成された第2のプロセスチャンバであって、前記ソース/ドレイン領域は、第1のドーパント濃度を有し、前記ドープされた半導体層は、前記第1のドーパント濃度よりも高い第2のドーパント濃度を有する、第2のプロセスチャンバ、
    前記金属ケイ素化合物層及び前記トレンチの側壁上にバリア層を堆積させるように構成された第3のプロセスチャンバ、
    前記バリア層の上にアンカー層を堆積させるように構成された第4のプロセスチャンバ、
    導体で前記トレンチを満たすように構成された第5のプロセスチャンバ、
    前記導体の上に過積層を堆積させるように構成された第6のプロセスチャンバ、並びに
    前記導体を前記トレンチ内でリフローさせるよう、前記基板を加熱するように構成された第7のプロセスチャンバを含む、複数のプロセスチャンバを備える、処理システム。
  8. 前記第2のプロセスチャンバは、エピタキシャルチャンバであり、前記第3のプロセスチャンバは、原子層堆積(ALD)チャンバであり、前記第4のプロセスチャンバは、物理的気相堆積(PVD)チャンバであり、前記第5のプロセスチャンバは、化学気相堆積(CVD)チャンバであり、前記第6のプロセスチャンバは、物理的気相堆積(PVD)チャンバであり、前記第7のプロセスチャンバはアニーリングチャンバである、請求項7に記載の処理システム。
  9. 前記複数のプロセスチャンバのうちの1以上に結合された第1の移送チャンバであって、前記第1の移送チャンバに結合された前記複数のプロセスチャンバのうちの1以上に前記基板を移送し、前記1以上から前記基板を受け取るように構成された第1の移送チャンバを更に備える、請求項7に記載の処理システム。
  10. 前記第1の移送チャンバに結合されたパススルーチャンバ、及び
    前記パススルーチャンバに結合された第2の移送チャンバを更に備える、請求項9に記載の処理システム。
  11. 前記アンカー層、前記導体、及び前記過積層は、コバルト(Co)を含む、請求項7に記載の処理システム。
  12. 接点を形成する方法であって、
    第1のプロセスチャンバにおいて、基板のソース/ドレイン領域であって、前記ソース/ドレイン領域の上に形成された誘電体材料内に形成されたトレンチを通して露出されるソース/ドレイン領域の露出面の上に、ドープされた半導体層を堆積させること、
    前記第1のプロセスチャンバにおいて、前記ドープされた半導体層の上に金属ケイ素化合物層を堆積させること、
    前記基板を第2のプロセスチャンバに移送し、前記金属ケイ素化合物層及び前記トレンチの側壁の上にアンカー層を形成すること、
    前記基板を第3のプロセスチャンバに移送し、導体で前記トレンチを満たすこと、並びに
    前記基板を第4のプロセスチャンバに移送し、前記導体を前記トレンチ内でリフローさせるように、前記基板を加熱することを含み、
    前記ソース/ドレイン領域は、第1のドーパント濃度を有し、前記ドープされた半導体層は、前記第1のドーパント濃度よりも高い第2のドーパント濃度を有する、方法。
  13. 前記金属ケイ素化合物層の上にバリア層を堆積させること、及び
    前記導体の上に過積層を形成することを更に含む、請求項12に記載の方法。
  14. 化学機械研磨(CMP)工程を含む、前記基板を平坦化することを更に含む、請求項12に記載の方法。
  15. 前記第1のプロセスチャンバは、エピタキシャルチャンバであり、前記第2のプロセスチャンバは、物理的気相堆積(PVD)チャンバであり、前記第3のプロセスチャンバは、化学気相堆積(CVD)チャンバであり、前記第4のプロセスチャンバは、アニーリングチャンバである、請求項1に記載の処理システム。
  16. 前記第6のプロセスチャンバは、物理的気相堆積(PVD)チャンバである、請求項5に記載の処理システム。
  17. 前記第3のプロセスチャンバは、前記バリア層と前記金属ケイ素化合物層との間にキャップ層を形成するように構成されている、請求項7に記載の処理システム。
  18. 前記バリア層及び前記キャップ層は、窒素(N)を含む、請求項17に記載の処理システム。
  19. 前記アンカー層及び前記導体は、コバルト(Co)を含み、
    前記バリア層は、窒素(N)を含む、請求項13に記載の方法。
JP2021534955A 2018-12-21 2019-11-21 処理システム及び接点を形成する方法 Active JP7277585B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862783906P 2018-12-21 2018-12-21
US62/783,906 2018-12-21
PCT/US2019/062691 WO2020131296A1 (en) 2018-12-21 2019-11-21 Processing system and method of forming a contact

Publications (2)

Publication Number Publication Date
JP2022513994A JP2022513994A (ja) 2022-02-09
JP7277585B2 true JP7277585B2 (ja) 2023-05-19

Family

ID=71097792

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021534955A Active JP7277585B2 (ja) 2018-12-21 2019-11-21 処理システム及び接点を形成する方法

Country Status (6)

Country Link
US (1) US11114320B2 (ja)
JP (1) JP7277585B2 (ja)
KR (1) KR102687655B1 (ja)
CN (1) CN113261075A (ja)
TW (1) TWI728609B (ja)
WO (1) WO2020131296A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102357328B1 (ko) 2018-12-20 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 도핑된 ⅳ족 재료들을 성장시키는 방법
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
KR20220037575A (ko) * 2020-09-18 2022-03-25 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005538547A (ja) 2002-09-09 2005-12-15 韓國電子通信研究院 半導体素子の製造装置及びこれを用いた半導体素子の製造方法
JP2006310717A (ja) 2005-04-25 2006-11-09 Hynix Semiconductor Inc 固相エピタキシー方式を用いた半導体素子及びその製造方法
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2009543357A (ja) 2006-06-30 2009-12-03 アプライド マテリアルズ インコーポレイテッド エピタキシーチャンバにおける基板の予備洗浄
US20160359008A1 (en) 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
JP2016540368A (ja) 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
KR100318460B1 (ko) * 1998-12-22 2002-02-19 박종섭 반도체소자제조방법
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP2009535859A (ja) 2006-05-01 2009-10-01 アプライド マテリアルズ インコーポレイテッド 炭素を混合したsi膜を使用した極浅接合形成の方法
KR101615255B1 (ko) * 2006-09-20 2016-05-11 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 전사가능한 반도체 구조들, 디바이스들 및 디바이스 컴포넌트들을 만들기 위한 릴리스 방안들
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
JP2008305942A (ja) * 2007-06-07 2008-12-18 Tokyo Electron Ltd 半導体メモリ装置およびその製造方法
US8089144B2 (en) * 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
US9054194B2 (en) * 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
CN106847811B (zh) 2011-12-20 2021-04-27 英特尔公司 减小的接触电阻的自对准接触金属化
US9245798B2 (en) * 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9214556B2 (en) 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9978601B2 (en) 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
CN106920776B (zh) * 2015-12-25 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式晶体管的形成方法
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10269646B2 (en) * 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2018182620A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005538547A (ja) 2002-09-09 2005-12-15 韓國電子通信研究院 半導体素子の製造装置及びこれを用いた半導体素子の製造方法
JP2006310717A (ja) 2005-04-25 2006-11-09 Hynix Semiconductor Inc 固相エピタキシー方式を用いた半導体素子及びその製造方法
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2009543357A (ja) 2006-06-30 2009-12-03 アプライド マテリアルズ インコーポレイテッド エピタキシーチャンバにおける基板の予備洗浄
JP2016540368A (ja) 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US20160359008A1 (en) 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
CN113261075A (zh) 2021-08-13
US11114320B2 (en) 2021-09-07
KR20210093368A (ko) 2021-07-27
TWI728609B (zh) 2021-05-21
JP2022513994A (ja) 2022-02-09
KR102687655B1 (ko) 2024-07-22
TW202038365A (zh) 2020-10-16
US20200203481A1 (en) 2020-06-25
WO2020131296A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
JP7277585B2 (ja) 処理システム及び接点を形成する方法
CN112530904A (zh) 接触结构及其形成方法
JP7326447B2 (ja) 接触抵抗が低減された半導体デバイスの作製方法
KR20160044393A (ko) 콘택 저항 감소 기법
US10249724B2 (en) Low resistance contact structures for trench structures
US10304773B2 (en) Low resistance contact structures including a copper fill for trench structures
US20180108610A1 (en) Liner planarization-free process flow for fabricating metallic interconnect structures
JP7266105B2 (ja) 半導体デバイス、半導体デバイスの製造方法、および処理システム
TWI698957B (zh) 半導體裝置及其製造方法
KR102495808B1 (ko) 콘택 플러그
WO2022225618A1 (en) System and methods for dram contact formation
US12125748B2 (en) Contact plug
US20240332388A1 (en) Methods of reducing backside contact resistance
CN117581368A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210902

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230508

R150 Certificate of patent or registration of utility model

Ref document number: 7277585

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150