TWI728609B - 用於形成觸點之處理系統及方法 - Google Patents

用於形成觸點之處理系統及方法 Download PDF

Info

Publication number
TWI728609B
TWI728609B TW108146869A TW108146869A TWI728609B TW I728609 B TWI728609 B TW I728609B TW 108146869 A TW108146869 A TW 108146869A TW 108146869 A TW108146869 A TW 108146869A TW I728609 B TWI728609 B TW I728609B
Authority
TW
Taiwan
Prior art keywords
layer
chamber
processing
processing chamber
source
Prior art date
Application number
TW108146869A
Other languages
English (en)
Other versions
TW202038365A (zh
Inventor
高拉夫 塔瑞加
倉富敬
艾夫傑尼諾斯V 傑拉多斯
先敏 唐
聖傑 納塔拉珍
啟汎 卡薛費哲戴
哲擘 陳
雷建新
雪恩克 薛瑪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202038365A publication Critical patent/TW202038365A/zh
Application granted granted Critical
Publication of TWI728609B publication Critical patent/TWI728609B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本文揭示的實施例包括用於形成觸點之處理系統及方法。此處理系統包括複數個處理腔室,經配置以沉積、蝕刻及/或退火基板的源極/汲極區。此方法包括在源極/汲極區上方沉積經摻雜半導體層、在溝槽中形成定錨層、以及在溝槽中沉積導體。用於形成觸點的方法藉由使用整合處理造成降低的觸點電阻,此整合處理容許源極/汲極觸點形成的各種操作在相同處理系統內執行。

Description

用於形成觸點之處理系統及方法
本揭示案的實施例大體係關於一種設備與一種方法,且更特定言之係關於形成觸點的一種處理系統與一種方法。
電晶體是現代數位處理器與記憶體裝置的基本裝置元件,且已在高功率電子中應用。目前,各種電晶體設計或類型可用於不同應用。各種電晶體類型包括,例如雙極接面電晶體(BJT)、接面場效電晶體(JFET)、金氧半導體場效電晶體(MOSFET)、豎直通道或溝槽場效電晶體、及超接面電晶體或多汲極電晶體。在電晶體的MOSFET家族內新興的一種電晶體為鰭式場效電晶體(FinFET)。
FinFET可製造在塊體半導體基板上,例如矽基板,且包含類鰭結構,其在沿著基板的表面的長度方向上蔓延並在與基板表面正交的高度方向上延伸。此鰭具有狹窄寬度,例如小於250奈米。此鰭可穿越絕緣層。包含導電閘極材料與閘極絕緣體的閘極結構可形成在此鰭的一區上方。此鰭的上部分在閘極結構的任一側上被摻雜以形成鄰近於閘極的源極/汲極區。
FinFET具有對於縮減至較小尺寸的互補式MOSFET較佳的靜電性質。因為此鰭是三維結構,電晶體的通道可形成在此鰭的三個表面上,所以FinFET可展現對於佔據在基板上的給定表面區域的高電流開關能力。由於通道與元件可從基板表面提升,與習知的平面MOSFET相比較,在相鄰元件之間會有著降低的電場耦合。
半導體設計、製造及操作中的一種關鍵挑戰是觸點電阻。例如,FinFET元件的源極與汲極區藉由形成源極/汲極觸點溝槽的蝕刻處理會被侵蝕,造成增加的觸點電阻。增加的觸點電阻的一種結果是電路元件的縮減效能,電路元件包括形成在半導體基板上的電晶體與其他元件結構。
因此,需要具有縮減觸點電阻的觸點。
本發明的實施例大體係關於形成觸點的處理系統與方法。此處理系統包括複數個處理腔室,設置以沉積、蝕刻、及/或退火基板的源極/汲極區。此方法包括在源極/汲極區上方沉積經摻雜半導體層、在溝槽中形成定錨層、以及在溝槽中沉積導體。形成觸點的方法藉由使用整合處理造成降低的觸點電阻,整合處理容許源極/汲極觸點形成的各種操作在相同的處理系統內執行。
在一個實施例中,提供一種處理系統,包括系統控制器、第一處理腔室、第二處理腔室、與第四處理腔室。控制器經配置以致使第一處理腔室在基板的源極/汲極區的暴露表面上沉積經摻雜半導體層與金屬矽化物層。源極/汲極區經由形成在介電材料中的溝槽而暴露,介電材料形成在源極/汲極區上方。控制器經配置以致使第二處理腔室在金屬矽化物層與溝槽的側壁上方形成定錨層。控制器經配置以致使第三處理腔室以導體填充溝槽。控制器經配置以致使第四處理腔室加熱基板使得導體在溝槽內再流(reflow)。源極/汲極區具有第一摻雜濃度。經摻雜半導體層具有高於第一摻雜濃度的第二摻雜濃度。
在另一實施例中,提供一種處理系統,包括複數個處理腔室。複數個處理腔室包括第一處理腔室,經配置以從基板的源極/汲極區的暴露表面移除污染物,其中源極/汲極區經由形成在介電材料中的溝槽而暴露,介電材料形成在源極/汲極區上方;第二處理腔室,經配置以在源極/汲極區上方相繼沉積經摻雜半導體層與金屬矽化物層;第三處理腔室,經配置以在金屬矽化物層與溝槽的側壁上沉積阻障層;第四處理腔室,經配置以在阻障層上方沉積定錨層;第五處理腔室,經配置以導體填充溝槽;第六處理腔室,經配置以在導體上方沉積過載層;及第七處理腔室,經配置以加熱基板使導體在溝槽內再流。源極/汲極區具有第一摻雜濃度。經摻雜半導體層具有高於第一摻雜濃度的第二摻雜濃度。
在又另一實施例中,提供形成觸點的方法,包括在基板的源極/汲極區的暴露表面上沉積經摻雜半導體層,其中源極/汲極區經由形成在介電材料中的溝槽而暴露,介電材料形成在源極/汲極區上方;在經摻雜半導體層上沉積金屬矽化物層;在金屬矽化物層與溝槽的側壁上方形成定錨層;以導體填充溝槽;及加熱基板以使導體在溝槽內再流。源極/汲極區具有第一摻雜濃度。經摻雜半導體層具有高於第一摻雜濃度的第二摻雜濃度。
本文揭示的實施例包括形成觸點的處理系統與方法。在各種實施例中,此方法包括在處理系統中執行接下來的操作而不破壞真空:在基板的電晶體的源極/汲極區的暴露表面上執行預清洗處理,源極/汲極區經由形成在介電材料中的溝槽而暴露,介電材料形成在源極/汲極區上方;藉由磊晶沉積處理在暴露的源極/汲極區上形成矽化物層;藉由原子層沉積處理在矽化物層上方形成阻障層/襯墊層;藉由物理氣相沉積處理在阻障層/襯墊層上形成定錨層;藉由化學氣相沉積處理以導體填充溝槽;及退火基板。此整合處理可形成具有減少的電阻與孔洞的鈷觸點,從而提供高效能邏輯電晶體。本文揭示的實施例可用於,但不限於,創造具有縮減觸點電阻的觸點。
前述內容廣泛地概述本發明中所述的技術。料想本發明的概念可實行用於平面電晶體元件或用於三線電晶體元件,諸如鰭式場效電晶體(FinFET)、水平環繞式閘極(HGAA)FET、垂直環繞式閘極(VGAA)FET、奈米線通道FET、應變半導體元件、等等。
在此使用時,用語「約」意指標示值的+/-10%變動。應理解到此種變動可包括在本文所提供的任何數值中。
第1圖是根據一個實施例之形成觸點的方法100的操作的流程圖。第2A圖至第2D圖繪示根據一實施例之在方法100的不同階段期間之基板200的各種視圖。儘管將第1圖與第2A圖至第2D圖結合來說明方法100操作,本領域的熟習技藝者將理解到設置以任何次序執行此方法操作的任何系統係落入本文所述的實施例的範疇內。注意到可利用方法100以形成未在本文呈現的任何其他半導體結構。本領域的熟習技藝者應認知到形成半導體裝置與相關結構的完整處理並未繪示在圖示中或在本文中說明。觸點可為電晶體或其他半導體裝置的一部分。
方法100藉由提供基板200進入處理腔室而開始於操作102。處理腔室可為蝕刻腔室。基板200為在本領域中使用的任何基板,且包括任何半導體、絕緣體、或金屬材料。如第2A圖所繪示,基板200包括半導體層202、複數個半導體結構204、第一介電材料206、源極/汲極區208、觸點蝕刻終止層(CESL)210、及第二介電材料212。複數個半導體結構204(只圖示出兩個)從半導體層202延伸。半導體結構204可為半導體鰭片。半導體層202可由以下材料製造:矽(Si)、鍺(Ge)、矽鍺(SiGe)、或III/V族化合物半導體,諸如砷化鎵(GaAs)或砷化銦鎵(InGaAs)。半導體層202可以p型或n型摻雜物來摻雜。在一個實施例中,半導體層202以p型摻雜物來摻雜,諸如硼(B)。在另一實施例中,半導體層202以n型摻雜物來摻雜,諸如磷(P)或砷(As)。半導體結構204由與半導體層202相同的材料製造。在一個實施例中,半導體結構204與半導體層202整合。
第一介電材料206安置在半導體層202上的半導體結構204之間。第一介電材料206可為淺溝槽隔離(STI)區,且可由二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳氮化矽(SiCN)或其他合適介電材料所製造。
在一個實例中,源極/汲極區208是源極區或汲極區。在另一實例中,源極/汲極區208包括合併的源極/汲極區,如第2A圖所繪示。在任一實例中,源極/汲極區208由磊晶地成長在半導體結構204上的半導體材料所製造。源極/汲極區208由Si、Ge、SiGe、或III/V族化合物半導體,諸如GaAs或InGaAs所製造。源極/汲極區208可以p型或n型摻雜物來摻雜。在一個實例中,源極/汲極區208以p型摻雜物來摻雜,諸如B。或者,源極/汲極區208以n型摻雜物來摻雜,諸如P或As。源極/汲極區208可磊晶地成長在半導體結構204上,且因為在不同表面平面上的不同成長速率,多個小面可被形成致使源極/汲極區208具有鑽石形狀。
CESL 210形成在第一介電材料206與源極/汲極區208上。CESL 210由介電材料製造,諸如SiO2 、Si3 N4 、SiCN、或前述物的組合。第二介電材料212安置在CESL 210上方。第二介電材料212可為介層介電質,且可由介電材料製造,諸如SiO2 、Si3 N4 、SiCN、或前述物的組合。基板200可進一步包括複數個閘極(未圖示),安置在半導體結構204上方並垂直於半導體結構204延伸。
在操作104,在第二介電材料212中形成溝槽214以暴露各源極/汲極區208,如第2B圖所繪示。藉由移除第二介電材料212的一部分來形成溝槽214,而CESL 210安置在各源極/汲極區208上方,且暴露各源極/汲極區208的表面216。可由任何合適移除處理形成溝槽214。在一個實例中,藉由電漿蝕刻處理形成溝槽214。
單一源極/汲極區208在各溝槽214中暴露。或者,合併的源極/汲極區208在各溝槽214中暴露,如第2B圖所繪示。在溝槽214的形成期間可移除源極/汲極區208的一部分。經侵蝕的源極/汲極區208具有增加的觸點電阻。溝槽214形成在處理腔室中,其可為活性離子蝕刻(RIE)腔室或其他合適蝕刻腔室。
在操作106,在源極/汲極區208的暴露表面216上執行預清洗處理。預清洗處理移除在源極/汲極區208的表面216上任何污染物,諸如碳或氧化物污染物。預清洗處理可為任何合適蝕刻處理,諸如乾式蝕刻、濕式蝕刻、或其組合。在一實例中,預清洗處理包括濕式蝕刻處理與之後的乾式蝕刻處理。濕式蝕刻處理可利用氨(NH3 )或氮化氫(HF)溶液。乾式蝕刻處理可為電漿蝕刻處理且可利用含氮或含氫蝕刻劑。預清洗處理不實質地移除源極/汲極區208的任何部分。
預清洗處理在處理系統的第一處理腔室中執行,此處理腔室可與操作102和104的處理腔室為相同或不同的處理系統。在一實例中,預清洗處理在使用遠端電漿源的處理腔室中執行。適用於執行預清洗處理的一種示例性處理腔室為可由加州聖克拉拉的應用材料公司取得的AKTIV Pre-CleanTM 腔室或SiCoNiTM 清洗腔室。或者,預清洗處理可在蝕刻腔室中執行,諸如使用感應耦合電漿(ICP)源的蝕刻腔室。一種示例性蝕刻腔室為經修改的去耦合電漿氮化(DPN)腔室,其可由加州聖克拉拉的應用材料公司取得。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行預清洗處理。
在操作108,在暴露的源極/汲極區208的表面216上形成經摻雜半導體層220,如第2C圖所繪示。經摻雜半導體層220可藉由選擇性磊晶沉積處理形成。經摻雜半導體層220形成在源極/汲極區208的暴露表面上,亦即,溝槽214的底部上,且由於選擇性磊晶沉積處理而不在溝槽214的側壁218上。經摻雜半導體層220可由與源極/汲極區208相同的材料製造,除了經摻雜半導體層220中的摻雜濃度高於源極/汲極區208中的摻雜濃度。在一個實施例中,經摻雜半導體層220藉由摻雜物浸泡處理而形成。在摻雜物浸泡處理期間,源極/汲極區208的頂部分(諸如從表面216至預定深度)被轉換成經摻雜半導體層220。經摻雜半導體層220可具有從約1 Å至約200 Å的厚度範圍。在一實施例中,經摻雜半導體層220中的摻雜濃度與源極/汲極區208中的摻雜濃度的比率在從約1.5:1至約10:1的範圍內,例如,約2:1至約6:1。在一個實例中,經摻雜半導體層220中的摻雜濃度是約1×1019 原子/cm3 至約1×1022 原子/cm3 。經摻雜半導體層220中的增加摻雜濃度減少觸點電阻。
在處理系統的第二處理腔室中執行選擇性磊晶沉積處理。在一個實施例中,經摻雜半導體層220形成在磊晶腔室中。磊晶腔室的一個實例為可由加州聖克拉拉的應用材料公司取得的降低壓力(RP) Epi腔室。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行選擇性磊晶沉積處理或摻雜物浸泡處理以形成經摻雜半導體層220。
在操作110,藉由選擇性磊晶沉積處理在經摻雜半導體層220上形成金屬矽化物層222,如第2C圖所繪示。金屬矽化物層222形成在經摻雜半導體層220上,亦即溝槽214的底部上,且由於選擇性磊晶沉積處理而不在溝槽214的側壁218上。金屬矽化物層222可包括矽化鈦(TiSi)、矽化鈷(CoSi)、矽化釕(RuSi)、或其他合適金屬矽化物。金屬矽化物層222可在與經摻雜半導體層220相同的處理腔室中形成。
或者,經摻雜半導體層220與金屬矽化物層222可被形成在暴露的源極/汲極區208的表面上的矽化物層209取代,如第3圖所繪示。在一個實施例中,藉由在暴露的源極/汲極區208的表面上與第二介電材料212的表面216上方形成共形金屬層來形成矽化物層209。共形金屬層可為使用CVD處理、PECVD處理、高密度CVD處理、PVD處理、電鍍處理、濺射處理、蒸發處理、或其他合適處理形成的耐火金屬層。金屬層可包括鈷(Co)、鎳(Ni)、鈦(Ti)、釕(Ru)、鉭(Ta)、鎢(W)、前述金屬的合金、其他合適金屬矽化物、或前述物的任何組合。金屬層的某些實例包括但不限於TiSi、RuSi、鎳鉑(NiPt)合金、鎳鈀(NiPd)、鎳錸(NiRe)、鈦鉭(TiTa)、或鈦鈮(TiNb)。
一旦金屬層已經形成,諸如藉由退火處理而接著加熱基板200,使得暴露的源極/汲極區208與金屬層反應並形成矽化物層209。退火處理在金屬層接觸源極/汲極區208的任何情況下致使矽化物反應發生。取決於使用的金屬層,矽化物層209可為金屬層的矽化物。例如,若金屬層包括Co,則矽化物層209包括CoSi。退火處理可在快速熱退火(RTA)腔室中執行。一種示例性腔室為可由加州聖克拉拉的應用材料公司取得的Vantage® RADOXTM RTP腔室或其他合適腔室。接著藉由選擇性蝕刻處理移除未反應的金屬層以在基板上留下矽化物層209。
在利用矽化物層209的實施例中,可選的蓋層224可形成在矽化物層209上,如第3圖所繪示。儘管未圖示,但是亦可在金屬矽化物層222上形成蓋層224,使得蓋層224安置在金屬矽化物層222與阻障層225(或者稱為襯墊層)之間及/或定錨層227將被隨後形成在蓋層224上。蓋層224可防止來自隨後沉積的定錨層227及/或隨後填充在溝槽214中的觸點金屬的金屬擴散並與下方矽化物層209及/或源極/汲極區208反應。蓋層224亦可作為黏附層以改善隨後填充在溝槽214中的觸點金屬與矽化物層209之間的黏附。
蓋層224可為氮化物層。氮化物層可包括但不限於TiN、Si3 N4 、或金屬矽氮化物。蓋層224可包括含有過渡金屬的金屬材料,諸如銥(Ir)或鉬(Mo)。在一個實施例中,蓋層224是藉由氮化處理形成的氮化物層。氮化處理可包括將暴露的矽化物層209暴露至含氮電漿或含氮周圍環境,使得氮(N)原子與存在於矽化物層209的暴露表面處的原子化學反應以形成表面氮化物層(例如,蓋層224)。在某些實施例中,氮化物區亦形成在源極/汲極區208的上部分中。
氮化處理可在使用感應耦合電漿(ICP)源的電漿腔室中執行,諸如可由加州聖克拉拉的應用材料公司取得的經修改的去耦合電漿氮化(DPN)腔室或其他合適腔室。料想蓋層224亦可藉由任何合適沉積處理而形成,諸如ALD處理、CVD處理、PECVD處理、HDP-CVD處理、低壓CVD (LPCVD)處理、PVD處理或任何合適沉積技術。在藉由ALD處理形成蓋層224的情況中,蓋層224可形成在側壁218與矽化物層209兩者上。在此種情況中,蓋層224的沉積可在ALD腔室中執行。ALD腔室的一個實例為可由加州聖克拉拉的應用材料公司取得的 OlympiaTM ALD腔室,但是亦可利用其他合適腔室。
在操作112,在金屬矽化物層222與溝槽214的側壁218上形成可選的阻障層225,如第2C圖所繪示。在蓋層224安置在金屬矽化物層222上的實施例中,阻障層225形成在蓋層224上,造成蓋層224安置在金屬矽化物層222與阻障層225之間。第3圖繪示一個實例,其中阻障層225形成在蓋層224與溝槽214的側壁218上。
阻障層225可由與蓋層224相同的材料所製造。在一個實施例中,阻障層225包括TiN。阻障層225可藉由任何合適沉積處理形成,諸如ALD處理、CVD處理、PECVD處理、HDP-CVD處理、低壓CVD (LPCVD)處理、PVD處理、或任何合適沉積技術。阻障層225的沉積在處理系統的第三處理腔室中執行。在一個實施例中,阻障層225藉由ALD處理而形成。一種示例性腔室為可由加州聖克拉拉的應用材料公司取得的OlympiaTM ALD腔室,或可利用其他合適腔室。或者,阻障層225可在與蓋層224相同的處理腔室中形成。
在操作114,將定錨層227可選地形成在阻障層225的暴露表面上,如第2C圖與第3圖所示。在不使用阻障層225的實施例中,定錨層227形成在金屬矽化物層222上(第2C圖)或蓋層224上(第3圖)。定錨層227進一步改善隨後填充在溝槽214中的觸點金屬與矽化物層209及/或源極/汲極區208之間的黏附。定錨層227可由金屬製成,諸如Co、W、Cu、Ru、鋁(Al)、金(Au)、銀(Ag)、前述物的合金、類似物、或前述物的組合,且可藉由CVD處理、ALD處理、PVD處理、ECP處理、或其他合適沉積技術而沉積。
定錨層227的沉積在處理系統的第四處理腔室中執行。在一個實施例中,在PVD腔室中形成定錨層227。一種示例性腔室是可由加州聖克拉拉的應用材料公司取得的CirrusTM RT PVD腔室。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行沉積處理來形成定錨層227。
在操作116,在溝槽214中形成導體226以填充溝槽214,如第2D圖與第3圖所繪示。種晶層229可安置在定錨層227與導體226之間。種晶層229可形成在定錨層227的暴露表面上,如第2D圖所繪示。種晶層229與導體226可由相同或不同材料製成。導體226與種晶層229的合適材料包括但不限於Co、Cu、W、Al、Ru、Ti、Ag、鉑(Pt)、鈀(Pa)、前述物的合金、前述物的衍生物、或前述物的任何組合。在一實施例中,導體226由Co製成。導體226與種晶層229可使用一或多種沉積處理形成在定錨層227上,諸如CVD處理、PECVD處理、ALD處理、PEALD處理、PVD處理、電鍍處理、ECP處理、或其他合適沉積技術。
導體226的形成在處理系統的第五處理腔室中執行。在一個實施例中,導體226在CVD腔室中形成。一種示例性腔室為可由加州聖克拉拉的應用材料公司取得的VoltaTM CVD腔室。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行沉積處理來形成導體226。
在操作118,在某些實施例中,在以導體226填充溝槽214之後,在導體226與第二介電材料212的暴露表面上形成過載層231。過載層231可包括金屬,其可包括與導體226相同的材料。在一實施例中,過載層231包括Co。過載層231可形成在導體226與第二介電材料212的暴露表面之上,直到達到預定厚度。在過載層形成之後,將基板200藉由上方論述的熱退火處理加熱至預定溫度以使過載層231與導體226的金屬再流,從而消除導體226中的縫隙或孔洞。或者,可在過載層的形成之前執行熱退火處理。
過載層231可藉由任何合適沉積技術形成,諸如PVD處理、ALD處理、CVD處理、PECVD處理、HDP-CVD處理、低壓CVD (LPCVD)處理、等等。過載層231的沉積可在處理系統的第六處理腔室中執行。在一隔實施例中,過載層231在PVD腔室中形成。一種示例性腔室為可由加州聖克拉拉的應用材料公司取得的VersaTM XT PVD腔室。或者,過載層的沉積可在處理系統的第四處理腔室中執行。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行沉積處理來形成過載層。
在操作120,將基板200在熱退火處理期間加熱至預定溫度。熱退火處理可在從約200°C至約800°C,例如約300°C至約600°C的溫度範圍內執行。在熱退火處理期間,溝槽214內的導體226的金屬可再流以消除導體226中的縫隙或孔洞。若有任何縫隙或孔洞留在導體226中,過載層231亦可再流以進一步填充溝槽214。熱退火處理亦可擴大晶粒尺寸、純化導體226(例如Co)、及/或降低電阻。因此,獲得高品質無孔洞導體226。
熱退火處理在處理系統的第七處理腔室中執行。在一個實施例中,熱退火處理在退火腔室中執行。一種示例性腔室是可由加州聖克拉拉的應用材料公司取得的PyraTM 退火腔室。另一示例性腔室為快速熱退火(RTA)腔室,諸如可由加州聖克拉拉的應用材料公司取得的Vantage® RADOXTM RTP腔室。然而,料想亦可應用來自其他製造者的其他合適設置的腔室以執行熱退火處理。
在操作122,藉由使用諸如化學機械研磨(CMP)的平坦化處理可移除過量導體226(及過載層231 (若有使用))。平坦化處理從第二介電材料212的頂表面上移除過載層231與過量導體226。因此,導體226、晶種層229(若有使用)、定錨層227、阻障層225、及第二介電材料212的頂表面可為共平面。所形成的導電特徵可稱作觸點、栓塞、等等。基板200可經受用於完成電晶體的進一步處理。
在一實施例中,平坦化處理在CMP系統中執行。一種示例性系統為可由加州聖克拉拉的應用材料公司取得的Reflexion® LK PrimeTM CMP系統。然而,料想亦可應用來自其他製造者的其他合適設置的CMP系統以執行沉積處理以形成導體226。
可根據本文提供的教示合適地修改的處理系統的實例包括可由位於加州聖克拉拉的應用材料公司商業上取得的Endura® 、Producer® 或Centura® 整合處理系統或其他合適處理系統。料想其他處理系統(包括來自其他製造者)可適於由本文所述的態樣得益。
第4圖繪示根據一個實施例之多腔室處理系統400的圖解頂視圖。多腔室處理系統400經配置以在一或多個基板上執行各種半導體處理方法,諸如上述的方法100。如圖示,多腔室處理系統400包括複數個處理腔室402、414、416、第一移送腔室404、穿越腔室406、第二移送腔室410、裝載鎖腔室412、工廠介面420、一或多個艙430、及系統控制器480。
處理腔室402的每一者耦接至第一移送腔室404。第一移送腔室404亦耦接至第一對的穿越腔室406。第一移送腔室404具有置中安置的移送機器人(未圖示)用以在穿越腔室406與處理腔室402之間移送基板。穿越腔室406耦接至第二移送腔室410,其耦接至經配置以執行預清洗處理(操作106)的處理腔室414及經配置以執行矽化物層(操作108/110)的處理腔室416。第二移送腔室410具有置中安置的移送機器人(未圖示)用以在裝載鎖腔室412與處理腔室414及/或處理腔室416之間移送基板。工廠介面420藉由裝載鎖腔室412連接至第二移送腔室410。工廠介面420耦接至裝載鎖腔室412的相對側上的一或多個艙430。艙430通常為從潔淨室為可進出的前開式晶圓傳送盒(FOUP)。
在某些實施例中,提供基板至蝕刻腔室以執行溝槽形成處理(例如,操作104)。蝕刻腔室可為多腔室處理系統400的一部分,或蝕刻腔室可為分開的處理工具的一部分。基板接著移送至處理腔室414。根據一實施例,在移送至處理腔室414之前,將基板移送至艙430。
將基板移送至處理腔室414,其中執行預清洗處理(例如,操作106)以移除污染物,諸如來自基板的電晶體的源極/汲極區的暴露表面的碳或氧化物污染物。然後,將基板移送至處理腔室416,在該處理腔室中沉積經摻雜半導體層與金屬矽化物層(例如,操作108與110)(或在一替代實施例中,沉積矽化物層209)。在某些實施例中,處理腔室414及/或處理腔室416與一或多個處理腔室402的任一者交換。
將基板接著移送至一或多個處理腔室402,在該等處理腔室中沉積阻障層(例如,操作112,諸如TiN阻障層的ALD)、沉積定錨層(例如,操作114,諸如Co定錨層的PVD)、用導體填充溝槽(例如,操作116,諸如Co導體的CVD)、沉積過載層(例如,操作118,諸如過載層的PVD)、及在基板上執行退火處理(例如,操作120)。因為所有的該等操作106、108、110、112、114、116、118、及120在相同處理系統內執行,所以當基板移送至各種腔室時不打破真空,其減少污染的機率並改善沉積的磊晶膜的品質。
系統控制器480耦接至處理系統400。系統控制器480控制處理系統400或其部件。例如,系統控制器480控制處理系統的操作,使用直接控制處理系統400的腔室402、404、406、410、412、414、416及/或工廠介面420及/或艙430,或藉由控制與腔室402、404、406、410、412、414、416及/或工廠介面420及/或艙430相關的控制器。在操作中,系統控制器480能夠從個別腔室進行資料收集與回饋以協調處理系統400的效能。
如圖示,系統控制器480包括中央處理單元(CPU)482、記憶體484、及支持電路486。CPU 482可為以工業設定使用的任何形式的通用處理器的一者。記憶體484可包括非暫態電腦可讀取媒體及/或機器可讀取儲存裝置。記憶體484可被CPU 482存取且可為一或多種記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的數位儲存裝置,本地或遠端的。支持電路486耦接至CPU 482且可包括快取、時鐘電路、輸入/輸出子系統、電源、及類似物。系統控制器480經配置以執行儲存在記憶體484中的方法100。本說明書揭示的各種實施例可被一般地在CPU 482的控制下藉由執行儲存在記憶體484中(或在特定處理腔室的記憶體中)的電腦指令碼(像是例如電腦程式產品或軟體常式)實行。亦即,電腦程式產品有形地體現在記憶體484(或非暫態電腦可讀取媒體或機器可讀取儲存裝置)上。當由CPU 482執行電腦指令碼時,CPU 482控制腔室以執行根據各種實施例的操作。
如上所述,本文提供形成觸點的方法與處理系統。處理系統包括複數個處理腔室,經配置以沉積、蝕刻、及/或退火基板的源極/汲極區。方法包括在源極/汲極區上方沉積經摻雜半導體層、在溝槽中形成定錨層,以及在溝槽中沉積導體。
形成觸點的方法藉由使用整合處理造成降低的觸點電阻,其容許源極/汲極觸點形成的各種操作在相同處理系統內執行。因此,當基板在各種處理腔室之間移送時不打破真空,此減少污染的機率並改善沉積層的品質。
儘管前述內容關於本發明的實施例,但在不背離本發明的基本範疇下可構思出本發明的其他與進一步實施例,且本發明的範疇由之後的申請專利範圍所界定。
100:方法 102~122:操作 200:基板 202:半導體層 204:半導體結構 206:第一介電材料 208:源極/汲極區 209:矽化物層 210:觸點蝕刻終止層(CESL) 212:第二介電材料 214:溝槽 216:表面 218:側壁 220:經摻雜半導體層 222:金屬矽化物層 224:蓋層 225:阻障層 226:導體 227:定錨層 229:種晶層 231:層 400:處理系統 402,404,406,410,412,414,416:腔室 420:工廠介面 430:艙 480:系統控制器 482:中央處理單元(CPU) 484:記憶體 486:支持電路
為了可詳細理解本發明的上述特徵,藉由參照實施例,其中某些實施例繪示在隨附圖式中,可獲得簡短總結於上之本發明的更特定的說明。然而,將注意到隨附圖式僅繪示範例實施例且因而不當作限制本發明的範疇,且本發明的範疇可容許其他等效實施例。
第1圖是根據一個實施例之形成觸點的方法操作的流程圖。
第2A圖至第2D圖繪示根據一個實施例之在第1圖的方法的不同階段期間之基板的各種視圖。
第3圖繪示根據一個實施例之基板的剖面視圖。
第4圖繪示根據一個實施例之多腔室處理系統的圖解頂視圖表。
為了易於理解,已儘可能使用相同元件符號指代圖式中共用的相同元件。料想一個實施例的元件與特徵可有利地併入其他實施例中而不需進一步闡明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:基板
202:半導體層
204:半導體結構
208:源極/汲極區
209:矽化層層
210:觸點蝕刻終止層(CESL)
212:第二介電材料
218:側壁
224:蓋層
225:阻障層
226:導體
227:定錨層
229:種晶層

Claims (19)

  1. 一種處理系統,包含:一系統控制器;一第一處理腔室,其中該系統控制器經配置以致使該第一處理腔室在一基板的一源極/汲極區的一暴露表面上沉積一經摻雜半導體層與一金屬矽化物層,其中該源極/汲極區經由形成在一介電材料中的一溝槽而暴露,該介電材料形成在該源極/汲極區上方,且該源極/汲極區具有一第一摻雜濃度而該經摻雜半導體層具有一第二摻雜濃度,該第二摻雜濃度高於該第一摻雜濃度;一第五處理腔室,其中該系統控制器經配置以致使該第五處理腔室在該金屬矽化物層上方與該溝槽的側壁上方沉積一阻障層;一第二處理腔室,其中該系統控制器經配置以致使該第二處理腔室在該阻障層上方形成一定錨層;一第三處理腔室,其中該系統控制器經配置以致使該第三處理腔室以一導體填充該溝槽;及一第四處理腔室,其中該系統控制器經配置以致使該第四處理腔室加熱該基板以使該導體在該溝槽內再流。
  2. 如請求項1所述之處理系統,其中該第一處理腔室是一磊晶腔室,該第二處理腔室是一物理氣相沉積(PVD)腔室,該第三處理腔室是一化學氣相沉積(CVD)腔室,及該第四處理腔室是一退火腔室。
  3. 如請求項1所述之處理系統,其中該系統控 制器經配置以致使該第五處理腔室在該金屬矽化物層上方沉積一蓋層。
  4. 如請求項1所述之處理系統,進一步包含:該系統控制器經配置以致使該第五處理腔室在該定錨層與該金屬矽化物層之間沉積一蓋層。
  5. 如請求項1所述之處理系統,進一步包含:一第六處理腔室,其中該系統控制器經配置以致使該第六處理腔室在該導體上方沉積一過載層。
  6. 如請求項5所述之處理系統,其中該第六處理腔室是一物理氣相沉積(PVD)腔室。
  7. 如請求項5所述之處理系統,其中該定錨層、該導體及該過載層包含鈷(Co)。
  8. 一種處理系統,包含:複數個處理腔室,包含:一第一處理腔室,經配置以從一基板的一源極/汲極區的一暴露表面移除污染物,其中該源極/汲極區經由形成在一介電材料中的一溝槽而暴露,該介電材料形成在該源極/汲極區上方;一第二處理腔室,經配置以在該源極/汲極區上方相繼沉積一經摻雜半導體層與一金屬矽化物層,其中該源極/汲極區具有一第一摻雜濃度而該經摻雜半導體層具有一第二摻雜濃度,該第二摻雜濃度高於該第一摻雜濃度;一第三處理腔室,經配置以在該金屬矽化物層上與 該溝槽的側壁上沉積一阻障層;一第四處理腔室,經配置以在該阻障層上方沉積一定錨層;一第五處理腔室,經配置以一導體填充該溝槽;一第六處理腔室,經配置以在該導體上方沉積一過載層;及一第七處理腔室,經配置以加熱該基板以使該導體在該溝槽內再流。
  9. 如請求項8所述之處理系統,其中該第二處理腔室是一磊晶腔室,該第三處理腔室是一原子層沉積(ALD)腔室,該第四處理腔室是一物理氣相沉積(PVD)腔室,該第五處理腔室是一化學氣相沉積(CVD)腔室,該第六處理腔室是一PVD腔室,及該第七處理腔室是一退火腔室。
  10. 如請求項8所述之處理系統,進一步包含:一第一移送腔室,耦接至該複數個處理腔室的一者或多者,該第一移送腔室設置以將該基板移送至耦接至該第一移送腔室的該複數個處理腔室的一者或多者及從耦接至該第一移送腔室的該複數個處理腔室的一者或多者接收該基板。
  11. 如請求項10所述之處理系統,進一步包含:一穿越腔室,耦接至該第一移送腔室;及一第二移送腔室,耦接至該穿越腔室。
  12. 如請求項8所述之處理系統,其中該定錨層、 該導體及該過載層包含鈷(Co)。
  13. 如請求項8所述之處理系統,其中該第三處理腔室經配置以在該阻障層與該金屬矽化物層之間形成一蓋層。
  14. 如請求項13所述之處理系統,其中該阻障層與該蓋層包含氮(N)。
  15. 一種形成一觸點的方法,包含:在一基板的一源極/汲極區的一暴露表面上方沉積一經摻雜半導體層,其中該源極/汲極區經由形成在一介電材料中的一溝槽而暴露,該介電材料形成在該源極/汲極區上方;在該經摻雜半導體層上方沉積一金屬矽化物層;在該金屬矽化物層上方與該溝槽的側壁上方形成一阻障層;在該阻障層上方形成一定錨層;以一導體填充該溝槽;及加熱該基板以使該導體在該溝槽內再流;其中該源極/汲極區具有一第一摻雜濃度,而該經摻雜半導體層具有一第二摻雜濃度,該第二摻雜濃度高於該第一摻雜濃度。
  16. 如請求項15所述之方法,其中在一第一處理腔室中執行沉積該經摻雜半導體層與沉積該金屬矽化物層,在一第二處理腔室中執行形成該定錨層, 在一第三處理腔室中執行以該導體填充該溝槽,及在一第四處理腔室中執行加熱該基板。
  17. 如請求項15所述之方法,進一步包含:在該導體上方形成一過載層。
  18. 如請求項17所述之方法,其中該定錨層與該導體包含鈷(Co),及該阻障層包含氮(N)。
  19. 如請求項15所述之方法,進一步包含平坦化該基板,平坦化該基板包含一化學機械研磨(CMP)處理。
TW108146869A 2018-12-21 2019-12-20 用於形成觸點之處理系統及方法 TWI728609B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862783906P 2018-12-21 2018-12-21
US62/783,906 2018-12-21

Publications (2)

Publication Number Publication Date
TW202038365A TW202038365A (zh) 2020-10-16
TWI728609B true TWI728609B (zh) 2021-05-21

Family

ID=71097792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108146869A TWI728609B (zh) 2018-12-21 2019-12-20 用於形成觸點之處理系統及方法

Country Status (6)

Country Link
US (1) US11114320B2 (zh)
JP (1) JP7277585B2 (zh)
KR (1) KR102687655B1 (zh)
CN (1) CN113261075A (zh)
TW (1) TWI728609B (zh)
WO (1) WO2020131296A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102357328B1 (ko) 2018-12-20 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 도핑된 ⅳ족 재료들을 성장시키는 방법
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
KR20220037575A (ko) * 2020-09-18 2022-03-25 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200915494A (en) * 2007-06-07 2009-04-01 Tokyo Electron Ltd Semiconductor memory device, and manufacturing method thereof
US20100148341A1 (en) * 2008-12-17 2010-06-17 Denso Corporation Semiconductor device and method for manufacturing the same
US20100276761A1 (en) * 2009-04-29 2010-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Non-Planar Transistors and Methods of Fabrication Thereof
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
US20140008812A1 (en) * 2012-04-26 2014-01-09 Applied Materials, Inc. Semiconductor reflow processing for feature fill
US20140361409A1 (en) * 2006-09-20 2014-12-11 The Board Of Trustees Of The University Of Illinois Release Strategies for Making Transferable Semiconductor Structures, Devices and Device Components
US20150041918A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Dual-Metal Silicide and Germanide Formation
US20160359008A1 (en) * 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
TW201724204A (zh) * 2015-10-20 2017-07-01 台灣積體電路製造股份有限公司 原子層沉積方法及其結構

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
KR100318460B1 (ko) * 1998-12-22 2002-02-19 박종섭 반도체소자제조방법
KR100429296B1 (ko) 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
KR100637690B1 (ko) 2005-04-25 2006-10-24 주식회사 하이닉스반도체 고상에피택시 방식을 이용한 반도체소자 및 그의 제조 방법
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP2009535859A (ja) 2006-05-01 2009-10-01 アプライド マテリアルズ インコーポレイテッド 炭素を混合したsi膜を使用した極浅接合形成の方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
CN106847811B (zh) 2011-12-20 2021-04-27 英特尔公司 减小的接触电阻的自对准接触金属化
TWI720422B (zh) 2013-09-27 2021-03-01 美商應用材料股份有限公司 實現無縫鈷間隙填充之方法
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN106920776B (zh) * 2015-12-25 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式晶体管的形成方法
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10269646B2 (en) * 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2018182620A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140361409A1 (en) * 2006-09-20 2014-12-11 The Board Of Trustees Of The University Of Illinois Release Strategies for Making Transferable Semiconductor Structures, Devices and Device Components
TW200915494A (en) * 2007-06-07 2009-04-01 Tokyo Electron Ltd Semiconductor memory device, and manufacturing method thereof
US20100148341A1 (en) * 2008-12-17 2010-06-17 Denso Corporation Semiconductor device and method for manufacturing the same
US20120049381A1 (en) * 2008-12-17 2012-03-01 Denso Corporation Semiconductor device and method for manufacturing the same
US20100276761A1 (en) * 2009-04-29 2010-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Non-Planar Transistors and Methods of Fabrication Thereof
US20140008812A1 (en) * 2012-04-26 2014-01-09 Applied Materials, Inc. Semiconductor reflow processing for feature fill
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
US20150041918A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Dual-Metal Silicide and Germanide Formation
US20160359008A1 (en) * 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
TW201724204A (zh) * 2015-10-20 2017-07-01 台灣積體電路製造股份有限公司 原子層沉積方法及其結構

Also Published As

Publication number Publication date
CN113261075A (zh) 2021-08-13
JP7277585B2 (ja) 2023-05-19
US11114320B2 (en) 2021-09-07
KR20210093368A (ko) 2021-07-27
JP2022513994A (ja) 2022-02-09
KR102687655B1 (ko) 2024-07-22
TW202038365A (zh) 2020-10-16
US20200203481A1 (en) 2020-06-25
WO2020131296A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
TWI728609B (zh) 用於形成觸點之處理系統及方法
US10510851B2 (en) Low resistance contact method and structure
US11195923B2 (en) Method of fabricating a semiconductor device having reduced contact resistance
CN112530904A (zh) 接触结构及其形成方法
CN110504170B (zh) 制作半导体结构的方法
US11355391B2 (en) Method for forming a metal gapfill
JP2005175121A (ja) 半導体装置の製造方法および半導体装置
US10249724B2 (en) Low resistance contact structures for trench structures
US10304773B2 (en) Low resistance contact structures including a copper fill for trench structures
JP7266105B2 (ja) 半導体デバイス、半導体デバイスの製造方法、および処理システム
WO2022225618A1 (en) System and methods for dram contact formation
US20240332388A1 (en) Methods of reducing backside contact resistance
US20240321997A1 (en) Semiconductor structure and fabrication method thereof
US20240079327A1 (en) Backside power element connection to source/drain region