US20180108610A1 - Liner planarization-free process flow for fabricating metallic interconnect structures - Google Patents

Liner planarization-free process flow for fabricating metallic interconnect structures Download PDF

Info

Publication number
US20180108610A1
US20180108610A1 US15/295,250 US201615295250A US2018108610A1 US 20180108610 A1 US20180108610 A1 US 20180108610A1 US 201615295250 A US201615295250 A US 201615295250A US 2018108610 A1 US2018108610 A1 US 2018108610A1
Authority
US
United States
Prior art keywords
layer
metallic material
liner
microstructure
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/295,250
Other versions
US10431464B2 (en
Inventor
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/295,250 priority Critical patent/US10431464B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YANG, CHIH-CHAO
Publication of US20180108610A1 publication Critical patent/US20180108610A1/en
Priority to US16/423,404 priority patent/US10741397B2/en
Application granted granted Critical
Publication of US10431464B2 publication Critical patent/US10431464B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Definitions

  • This disclosure generally relates to semiconductor fabrication techniques and, in particular, techniques for fabricating metallic interconnect structures.
  • a semiconductor integrated circuit chip is typically fabricated with a back-end-of-line (BEOL) interconnect structure, which comprises multiple levels of metal lines and inter-level metal vias.
  • BEOL interconnect structure serves to connect various integrated circuit components and devices that are fabricated as part of a front-end-of-line (FEOL) layer of the semiconductor integrated circuit chip.
  • FEOL front-end-of-line
  • Current state of the art BEOL process technologies typically implement copper to form BEOL interconnect structures, as the low resistivity of copper material significantly reduces resistance in the BEOL interconnect structure, resulting in improved conduction and higher performance.
  • CMP chemical-mechanical planarizing
  • the over polishing of the ILD layer also results in removing of an upper portion of the copper metallization formed in the ILD layer, which typically comprises high quality copper material (large metallic grains), while leaving lower quality copper material (smaller metallic grains) in the lower portion of the copper metallization. As such, the resulting copper metallization has increased resistance.
  • the over polishing of the surface of the ILD layer results in copper “dishing” of the upper surface of the copper metallization due to the fact that copper polishing rate is typically higher than the polishing rate of the metallic liner material and the dielectric material of the ILD layer.
  • copper polishing rate is typically higher than the polishing rate of the metallic liner material and the dielectric material of the ILD layer.
  • Embodiments of the invention include methods for fabricating metal interconnect structures.
  • a method for fabricating a device comprises: forming a dielectric layer on a substrate; patterning the dielectric layer to form an opening in the dielectric layer; depositing a first layer of metallic material over the dielectric layer to form a liner layer on an upper surface of the dielectric layer and on exposed surfaces within the opening; depositing a second layer of metallic material to fill the opening with metallic material; removing an overburden portion of the second layer of metallic material by planarizing the second layer of metallic material down an overburden portion of the liner layer on the upper surface of the dielectric layer; applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and selectively etching away the layer of metal nitride material.
  • a method for fabricating a device comprises: forming an interlayer dielectric (ILD) layer on a substrate; patterning the ILD layer to form a dual damascene opening comprising a via and a trench; depositing a first layer of metallic material over the ILD layer to form a liner layer on an upper surface of the ILD layer and on exposed surfaces within the dual damascene opening; depositing a layer of copper material to fill the dual damascene opening with copper material; performing a heat treatment to convert a first microstructure of at least an upper portion of the copper material in the trench to a second microstructure comprising an average grain size which is greater than an average grain size of the first microstructure; performing a chemical-mechanical planarizing process to remove an overburden portion of the layer of copper material down an overburden portion of the liner layer on the upper surface of the ILD layer; applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and selectively etching away the layer of metal
  • the semiconductor device comprises a metal interconnect structure formed within a dielectric layer, wherein the metal interconnect structure comprises a metal line, and a non-nitride metallic liner disposed between the metal line and the dielectric layer.
  • An upper surface of the non-nitride metallic liner is coplanar with a surface of the dielectric layer, and an upper surface of the metal line extends above the surface of the dielectric layer by an amount of about 0.5 nm to about 10 nm of about 10 nm or less.
  • An upper portion of the metal line comprises grains that have an average grain size which is greater than a critical dimension of the metal line.
  • the grains in the upper portion of the metal line have an average grain size which is greater than an average grain size of grains in a lower portion of the metal line.
  • metal line is formed of copper and the non-nitride metallic liner is formed of titanium.
  • FIGS. 1 through 9 schematically illustrate a method for fabricating a metallic interconnect structure of a semiconductor device, according to an embodiment of the invention, wherein:
  • FIG. 1 is a cross-sectional schematic side view of the semiconductor device at an intermediate stage of fabrication after depositing an interlevel dielectric (ILD) layer on a substrate;
  • ILD interlevel dielectric
  • FIG. 2 is a cross-sectional schematic side view of the semiconductor device of FIG. 1 after patterning the ILD layer to form an opening in the ILD layer;
  • FIG. 3 is a cross-sectional schematic side view of the semiconductor device of FIG. 2 after depositing a metallic liner layer over the surface of the semiconductor device to line the sidewall and bottom surfaces of the opening in the ILD layer with metallic liner material;
  • FIG. 4 is a cross-sectional schematic side view of the semiconductor device of FIG. 3 after depositing a layer of metallic material to fill the opening in the ILD layer with metallic material, wherein the layer of metallic material as deposited comprises a polycrystalline microstructure;
  • FIG. 5 is a cross-sectional schematic side view of the semiconductor device of FIG. 4 after applying a heat treatment to convert the polycrystalline microstructure of at least a portion of the layer of metallic material to a second microstructure comprising an average grain size which is greater than an average grain size of the polycrystalline microstructure;
  • FIG. 6 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after planarizing the surface of the semiconductor device down to an overburden portion of the metallic liner layer on the surface of the ILD layer;
  • FIG. 7 is a cross-sectional schematic side view of the semiconductor device of FIG. 6 , which shows a controlled surface treatment being applied to the overburden portion of the metallic liner layer to convert the metallic material of the overburden portion of the metallic liner layer into a metal nitride material;
  • FIG. 8 is a cross-sectional schematic side view of the semiconductor device of FIG. 7 after selectively removing the metal nitride material from the surface of the ILD layer;
  • FIG. 9 is a cross-sectional schematic side view of the semiconductor device of FIG. 8 after forming a capping layer on the surface of the ILD layer.
  • embodiments of the invention utilize wet chemical etching (instead of CMP) to selectively remove overburden metallic liner material from the field regions of an ILD layer.
  • wet chemical etching to selectively remove the overburden metallic liner material eliminates the need for over polishing and dishing of the metallization structures (e.g., copper metallization) and allows large metallic grains to maintained in upper regions of the metallization structures.
  • liner planarization-free process flows according to embodiments of the invention enable the formation of BEOL interconnect structures (e.g., copper interconnect structures) with lower resistivity and enhanced reliability.
  • FIGS. 1 through 9 schematically illustrate a method for fabricating a metallic interconnect structure of a semiconductor device, according to an embodiment of the invention.
  • FIG. 1 is a cross-sectional schematic side view of a semiconductor device 100 at an intermediate stage of fabrication after depositing an ILD layer 130 on a substrate 110 / 120 .
  • the substrate 110 / 120 comprises a base semiconductor substrate 110 and a FEOL (front end of the line) and MOL (middle of the line) structure 120 formed on front side surface of the semiconductor substrate 110 .
  • the based semiconductor substrate 110 is illustrated as a generic substrate layer, and may comprise different types of substrate structures.
  • the semiconductor substrate 110 may comprise a bulk semiconductor substrate formed of, e.g., silicon, or other types of semiconductor substrate materials that are commonly used in bulk semiconductor fabrication processes such as germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy, or compound semiconductor materials (e.g. III-V and II-VI).
  • semiconductor substrate materials e.g., silicon, or other types of semiconductor substrate materials that are commonly used in bulk semiconductor fabrication processes such as germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy, or compound semiconductor materials (e.g. III-V and II-VI).
  • compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide.
  • the semiconductor substrate 110 may comprise a SOI (silicon on insulator) substrate, which comprises an insulating layer (e.g., oxide layer) disposed between a base substrate layer (e.g., silicon substrate) and an active semiconductor layer (e.g., active silicon layer) in which active circuit components (e.g., field effect transistors) are formed as part of a FEOL layer.
  • SOI silicon on insulator
  • the FEOL/MOL structure 120 comprises a FEOL layer formed on the semiconductor substrate 110 .
  • the FEOL layer comprises various semiconductor devices and components that are formed in or on the active surface of the semiconductor substrate 110 to provide integrated circuitry for a target application.
  • the FEOL layer comprises FET devices (such as FinFET devices, planar MOSFET device, etc.), bipolar transistors, diodes, capacitors, inductors, resistors, isolation devices, etc., which are formed in or on the active surface of the semiconductor substrate 110 .
  • FEOL processes typically include preparing the semiconductor substrate 110 (or wafer), forming isolation structures (e.g., shallow trench isolation), forming device wells, patterning gate structures, forming spacers, forming source/drain regions (e.g., via implantation), forming silicide contacts on the source/drain regions, forming stress liners, etc.
  • isolation structures e.g., shallow trench isolation
  • forming device wells e.g., patterning gate structures, forming spacers, forming source/drain regions (e.g., via implantation), forming silicide contacts on the source/drain regions, forming stress liners, etc.
  • the FEOL/MOL structure 120 further comprises a MOL layer formed on the FEOL layer.
  • the MOL layer comprises a PMD (pre-metal dielectric layer) and conductive contacts (e.g., via contacts) that are formed in the PMD layer.
  • the PMD layer is formed on the components and devices of the FEOL layer.
  • a pattern of openings is formed in the PMD layer, and the openings are filled with a conductive material, such as tungsten, to form conducive via contacts that are in electrical contact with device terminals (e.g., source/drain regions, gate contacts, etc.) of the integrated circuitry of the FEOL layer.
  • the conductive via contacts of the MOL layer provide electrical connections between the integrated circuitry of the FEOL layer and a first level of metallization of a BEOL structure that is formed on the FEOL/MOL structure 120 .
  • a BEOL interconnect structure is formed on the FEOL/MOL structure 120 using techniques discussed herein to connect the various integrated circuit components of the FEOL layer.
  • a BEOL structure comprises multiple levels dielectric material and levels of metallization embedded in the dielectric material.
  • the BEOL metallization comprises horizontal wiring, interconnects, pads, etc., as well as vertical wiring in the form of conductive vias that form connections between different interconnect levels of the BEOL structure.
  • a BEOL fabrication process involves successive depositing and patterning of multiple layers of dielectric and metallic material to form a network of electrical connections between the FEOL devices and to provide I/O connections to external components.
  • the ILD layer 130 is formed as part of a first interconnect layer of a BEOL interconnect structure.
  • the ILD layer 130 may comprise any suitable dielectric material that is commonly utilized in BEOL process technologies.
  • the ILD layer 130 can be formed of a dielectric material including, but not limited to, silicon oxide (e.g. SiO 2 ), silicon nitride (e.g., (Si 3 N 4 ), hydrogenated silicon carbon oxide (SiCOH), SiCH, SiCNH, or other types of silicon-based low-k dielectrics (e.g., k less than about 4.0), porous dielectrics, or known ULK (ultra-low-k) dielectric materials (with k less than about 2.5).
  • the ILD layer 130 may be deposited using known deposition techniques, such as, for example, ALD (atomic layer deposition), CVD (chemical vapor deposition) PECVD (plasma-enhanced CVD), or PVD (physical vapor deposition), or spin-on deposition.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced CVD
  • PVD physical vapor deposition
  • spin-on deposition atomic layer deposition
  • the thickness of the ILD layer 130 will vary depending on the application, and may have a thickness in a range of about 30 nm to about 200 nm, for example.
  • FIG. 2 is a cross-sectional schematic side view of the semiconductor device of FIG. 1 after patterning the ILD layer 130 to form an opening 130 - 1 / 130 - 2 in the ILD layer 130 .
  • the opening 130 - 1 / 130 - 2 comprises a via opening 130 - 1 and a trench opening 130 - 2 .
  • the trench opening 130 - 2 is formed with a width W, which defines a “critical dimension” (CD) of metal wiring which is subsequently formed by filling the trench opening 130 - 2 with metallic material (the width W is fixed by the CD of the particular fabrication process that is implemented).
  • CD critical dimension
  • the ILD layer 130 can be photolithographically patterned using known damascene techniques to form the opening 130 - 1 / 130 - 2 .
  • the example embodiment of FIG. 2 illustrates one method for patterning the via opening 130 - 1 and the trench opening 130 - 2 in a single layer of dielectric material (i.e., the ILD layer 130 ) using one of various “dual” damascene patterning techniques known in the art.
  • such dual damascene techniques generally include a “via first” process, a “trench first” process, and a “buried via” process, which comprises different sequences for etching the first ILD layer 130 to pattern the via opening 130 - 1 and trench opening 130 - 2 , but where each type of dual damascene process results in the semiconductor structure shown in FIG. 2 .
  • single damascene techniques can be utilized to form the structure shown in FIG. 2 .
  • metal vias and metal lines are separately formed in different ILD layers.
  • the damascene patterning can be implemented using any conventional photolithography and etching process, e.g., forming a photoresist mask and etching the dielectric material of the ILD layer using a dry etch process such as RIE (reactive ion etching), etc.
  • RIE reactive ion etching
  • FIG. 3 is a cross-sectional schematic side view of the semiconductor device of FIG. 2 after depositing a layer of liner material 140 to line the sidewall and bottom surfaces of the opening 130 - 1 / 130 - 2 in the ILD layer 130 with metallic liner material.
  • the liner layer 140 serves as barrier diffusion layer and as an adhesion layer (or seed layer) with regard to the metallic material (e.g., copper material) which is subsequently deposited to fill the opening 130 - 1 / 130 - 2 in the ILD layer 130 and form a metallic interconnect structure (e.g., metal line and via).
  • the liner layer 140 is formed of titanium (Ti).
  • the liner layer 140 may be formed of a single metallic material such as, e.g., tantalum (Ta), aluminum (Al), cobalt (Co), ruthenium (Ru), tungsten (W), iridium (Ir), rhodium (Rh), manganese (Mn), or nickel (Ni), or multiple layers and/or combinations of such metallic materials.
  • the liner layer 140 comprises a conformal layer of metallic material having thickness in a range of about 1 nm to about 100 nm.
  • FIG. 4 is a cross-sectional schematic side view of the semiconductor device of FIG. 3 after depositing a layer of metallic material 150 to fill the opening 130 - 1 / 130 - 2 in the ILD layer 130 with metallic material.
  • the metallic material within the via opening 130 - 1 comprises a metal via 150 - 1
  • the metallic material within the trench opening 150 - 2 comprises a metal wire 150 - 2
  • the metallic material disposed over the surface of the ILD layer 130 comprises overburden metallic material 150 - 3 which is subsequently removed.
  • the layer of metallic material 150 comprises copper (Cu).
  • the metallic material 150 can be, for example, Al, W, Co, Ru, Ir, Rh, Ni, or alloys thereof.
  • the layer of metallic material 150 is deposited using known techniques such as electroplating, electroless plating, CVD, PVD, or a combination of methods.
  • the copper metallic material 150 as deposited comprises a polycrystalline microstructure, as schematically illustrated in FIG. 4 .
  • a polycrystalline microstructure comprises many crystallites (or grains) of varying size and orientation, and with random texture and no grain direction.
  • a polycrystalline microstructure comprises many grain boundaries, which tends to decrease the electrical conductivity of the metallic material, and tends to enhance electromigration due to grain boundary diffusion of electrons, which is not desirable.
  • the metallic material 150 is subjected to a heat treatment to convert the polycrystalline microstructure of the metallic material 150 into a different microstructure comprising larger grains with a given grain direction.
  • the polycrystalline microstructure is converted into a “bamboo” or “columnar” microstructure, having large elongated grains that extend in a particular direction.
  • FIG. 5 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after applying a heat treatment to the metallic material 150 to convert at least a portion of the metallic material 150 from a polycrystalline microstructure to second microstructure comprising larger grains that are defined by grain boundaries 150 A.
  • the second microstructure comprises a bamboo microstructure.
  • the heat treatment is applied to convert the microstructure of the overburden metallic material 150 - 3 and at least an upper portion of the metallic material 150 - 2 disposed within the trench opening 130 - 2 from a polycrystalline microstructure (as shown in FIG. 4 ) to a larger grain or bamboo microstructure as schematically shown in FIG. 5 , while the microstructure of the metallic material 150 - 2 within the bottom portion of the trench opening 130 - 2 and the metallic material 150 - 1 within the via opening 130 - 1 remains polycrystalline.
  • the overburden metallic material 150 - 3 and the portion of the metallic material 150 - 2 (which forms a metal line) within the upper region of the trench opening 130 - 2 comprises large grains that are separated by grain boundaries 150 A which extend in substantially the same direction (e.g., in a substantially vertical direction), providing a columnar or bamboo microstructure.
  • This microstructure serves to minimize electromigration as the grain boundaries 150 A extend in a substantially vertical direction which is essentially perpendicular to the current flow along the “length” direction of the metal line 150 - 2 (perpendicular to the width W).
  • an average grain size of the microstructure of the metallic material in an upper region of the trench 130 - 2 is greater than an average size of the polycrystalline microstructure in the lower region of the trench 130 - 2 (i.e., the lower region of the metal line 150 - 2 , FIG. 6 ).
  • the term “average grain size” as used herein is a well-known term of art, which denotes a parameter that can be estimated using known techniques. For example, one technique for estimating the average grain size of a given material is known as the “intercept” method.
  • a straight line of a given length (L) is drawn through a micrograph of the crystal structure of the given material (e.g., a TEM (Transmission Electron Microscope) or STEM (Scanning Transmission Electron Microscope) microstructure image).
  • the number of grains (N) that the straight line intersects is counted.
  • the average grain size is then determined as (L)/(N).
  • the average grain size of the metallic material in the upper region of the trench 130 - 2 is greater than a critical dimension (e.g., width W 1 ) of the metal line 150 - 2 .
  • the heat treatment that is used to convert the polycrystalline microstructure of the metallic material 150 to a second microstructure comprising larger grains can be implemented using various techniques.
  • the semiconductor structure of FIG. 5 can be placed in a furnace and subjected to a thermal anneal process in a temperature range of about 100 degrees Celsius to about 500 degrees Celsius for a period of about 20 minutes to about three hours.
  • a laser process can be implemented using known methods in which a laser beam (e.g., pulsed beam) is directed at the surface of the metallic material 150 to heat the metallic material 150 to a temperature which effectively causes a thermal anneal of the metallic material 150 and thus, a conversion of the polycrystalline microstructure to a second microstructure comprising larger grains (e.g., columnar or bamboo microstructure).
  • a laser process can heat the metallic material 150 to a relatively high temperature (e.g., in a range of 200 ⁇ 1000 degrees Celsius) in a short time frame (e.g., 10 nanoseconds to about 5 minutes).
  • the use of a laser process to heat treat the metallic material 150 provides precise temperature control of the metallic material 150 , while reducing potential thermal damage to surrounding features/components of the semiconductor structure when laser processing the metallic material 150 .
  • a next phase of the fabrication process comprises removing the overburden metallic material 150 - 3 and the overburden material of the liner layer 140 from the upper surface of the ILD layer 130 using a process flow as schematically illustrated in FIGS. 6, 7, and 8 .
  • FIG. 6 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after planarizing the surface of the semiconductor device down to the overburden portion of the liner layer 140 on the surface of the ILD layer 140 .
  • a CMP process is performed to remove the overburden metallic material 150 - 3 while terminating the CMP process when reaching the upper surface of the overburden portion of the liner layer 140 .
  • the process of FIG. 6 results in the formation of a metal interconnect structure 155 comprising the metal via 150 - 1 and the metal line 150 - 2 .
  • a portion of the low-resistivity metallic material (large grain metallic material) in the upper region of the metal line 150 - 2 of the metal interconnect structure 155 would be removed, while leaving the polycrystalline metallic material in the bottom region of the metal line 150 - 2 , thereby resulting in the formation of a metal line with higher resistance (e.g., smaller cross-sectional area with a higher ratio of polycrystalline metallic material to the large grain metallic material).
  • the overburden portion of the liner layer 140 is selectively removed using a wet chemical etching process.
  • a controlled surface treatment is applied to convert the metallic material of the overburden portion of the liner layer 140 into a metal nitride material.
  • FIG. 7 is a cross-sectional schematic side view of the semiconductor device of FIG. 6 , which shows a controlled surface treatment 200 being applied to the overburden material of the liner layer 140 in the field region to convert the overburden portion of the liner layer 140 into a layer of metal nitride material 142 .
  • the controlled surface treatment 200 comprise a nitridation process which serves to infuse nitrogen (N) atoms into the overburden metallic material of the liner layer 140 to form a metal nitride material.
  • N nitrogen
  • the overburden portion of the liner layer 140 is converted into a layer of TiN.
  • the liner layer 140 is formed of, e.g., Ta or W, the overburden portion of the liner layer 140 is converted into TaN or WN, respectively.
  • the controlled surface treatment 200 of FIG. 7 can be implemented using various plasma nitridation methods.
  • a plasma can be generated using a precursor gas which comprises nitrogen (e.g., N 2 , NH 3 , N 2 H 2 , or mixtures thereof, etc.) in an inert atmosphere of He or Ar.
  • the plasma treatment is performed in a suitable chamber at a temperature in a range of about 80 degrees Celsius to about 900 degrees Celsius, with a plasma energy that is suitable to perform the surface treatment to a target depth.
  • the controlled surface treatment 200 can be implemented using a gas cluster ion beam (GCIB) process, or a neutral atom beam (NAB) technique.
  • GCIB gas cluster ion beam
  • NAB neutral atom beam
  • these surface treatment techniques involve a bombardment process in which the overburden portion of the liner layer 140 is bombarded with high energy particles to convert the metallic material of the overburden portion of the liner layer 140 into a metal nitride material.
  • GCIB and NAB treatment methods are technologies that enable nano-scale modification of surfaces, e.g., chemically alter surfaces through infusion.
  • the overburden portion of the liner layer 140 is bombarded by a beam of high energy nanoscale cluster ions, which are formed when a high pressure gas (e.g., 10 atmospheres pressure) expands into a vacuum.
  • a GCIB treatment can be implemented with a suitable mixture of gases (as noted above), in temperature range of about 80 degrees Celsius to about 900 degrees Celsius, and an energy in a range of about 10 keV to about 30 keV to chemically infuse nitrogen atoms into the metallic material of the overburden portion of the liner layer 140 at a controlled penetration depth of less than about 10 nm, and thereby form the metal nitride layer 142 as shown in FIG. 7 .
  • a NAB surface treatment enables ultra-shallow processing of surfaces by converting energized gas cluster ions produced by a GCIB method into intense collimated beams of coincident neutral gas atoms having controllable average energies from about 10 eV per atom to about 100 eV per atom.
  • An electrostatic deflector is used to eliminate charged species in the environment, while allowing the released neutral atoms to bombard the surface of the overburden portion of the liner layer 140 and produce effects similar to those normally associated with GCIB, but to shallower controlled penetration depths (e.g., about 3 nm or less).
  • the type of plasma process utilized will depend, for example, on the thickness of the overburden portion of the liner layer 140 so that sufficient penetration depths are achieved to convert the entire thickness of the overburden portion of the liner layer 140 to a metal nitride material.
  • FIG. 8 is a cross-sectional schematic side view of the semiconductor device of FIG. 7 after selectively removing the layer of metal nitride material 142 from the surface of the ILD layer without removing any dielectric material of the ILD layer 130 or any metallic material of the metal line 150 - 2 .
  • the layer of metal nitride material 142 (e.g., TiN) is removed selective to the metallic material of the liner layer 140 (e.g., Ti) using a wet etch process with an etch chemistry comprising a mixture of hydrogen peroxide (H 2 O 2 ) and an acid.
  • the acid may comprise at least one of hydrofluoric acid (HF), hydrochloric acid (HCl), sulfuric acid (H 2 SO 4 ), peroxynitric acid (HNO 4 ), or other suitable acids, etc.
  • the selective removal of the layer of metal nitride material 142 as discussed above effectively serves to remove the overburden portion of the liner layer 140 by using a CMP-free process, and thereby avoid removal of the upper portions of the ILD layer 130 and the metal line 150 - 2 as in conventional methods in which CMP is used to over polish the surface of the semiconductor structure to ensure removal of the overburden metallic material of the liner layer 140 . Indeed, as shown in FIG.
  • an upper surface of the liner layer 140 will be substantially coplanar with a surface of the dielectric layer 130 , and the upper surface of the metal line 150 - 2 of the metal interconnect structure 155 will be slightly extended above the surface of the ILD layer 130 at a height H.
  • the height H is in a range of about 0.5 nm to about 10 nm.
  • FIG. 9 is a cross-sectional schematic side view of the semiconductor device of FIG. 8 after forming a capping layer 160 over the surface of the ILD layer 130 and the exposed surface of the metal interconnect structure 155 .
  • the capping layer 160 is formed of a dielectric material which is non-reactive with the metallic material that is used to form the metal interconnect structure 155 and other metal interconnect structures that are formed in ILD layer 130 of the first interconnect level of the BEOL layer.
  • the capping 160 layer serves to insulate exposed surface portions of the metallization structures formed in the ILD layer 130 from the dielectric material of another ILD layer (second interconnect level) formed on top of the ILD layer 130 .
  • the dielectric capping layer 160 serves to improve interconnect reliability by preventing oxidation of the copper metallization and preventing copper material of the metallization structures from diffusing into the dielectric material of the ILD layer.
  • the dielectric capping layer 160 could be formed of silicon nitride (SiN), silicon carbide (SiC), silicon carbon nitride (SiCN), hydrogenated silicon carbide (SiCH), or a multilayer stack comprising the same or different types of dielectric materials, etc., and deposited using standard deposition techniques, for example, chemical vapor deposition.
  • the capping layer 160 can be formed with a thickness in a range from about 2 nm to about 60 nm.
  • the metallic material used to form the metallization structures in the ILD layer 130 comprises tungsten
  • another ILD layer could be deposited directly on the ILD layer 130 (without having to form the capping layer 160 ) as tungsten is not reactive with typical dielectric materials that are used to form ILD layers.
  • FIGS. 1 through 9 can be repeated to form one or more additional interconnect levels above the ILD layer 130 shown in FIG. 9 to construct a BEOL layer.
  • the process flows described herein for fabricating metal interconnect structures allow for the fabrication of metal lines with large grain structures with reduced resistivity, while eliminating the need for over polishing the ILD layers and metallization structures at each interconnect level to remove overburden liner material from the field regions during BEOL fabrication.
  • the CMP-free removal of the overburden metallic material of the liner layers protects the ILD layers from dielectric damage due to CMP, as well as prevents dishing/recess of the upper surface of the metallization structures due to CMP, as in conventional methods discussed above.
  • metallic interconnect structures e.g., copper BEOL interconnect structures
  • semiconductor processing flows for fabricating other types of semiconductor devices and integrated circuits with various analog and digital circuitry or mixed-signal circuitry.
  • integrated circuit dies can be fabricated with various devices such as field-effect transistors, bipolar transistors, metal-oxide-semiconductor transistors, diodes, capacitors, inductors, etc.
  • An integrated circuit in accordance with the present invention can be employed in applications, hardware, and/or electronic systems.
  • Suitable hardware and systems for implementing the invention may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating such integrated circuits are considered part of the embodiments described herein. Given the teachings of the invention provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of the techniques of the invention.

Abstract

A method includes forming a dielectric layer on a substrate and patterning the dielectric layer to form an opening in the dielectric layer. A first layer of metallic material (e.g., non-nitride metal) is deposited to form a liner layer on an upper surface of the dielectric layer and on exposed surfaces within the opening. A second layer of metallic material (e.g., copper) is deposited to fill the opening with metallic material. An overburden portion of the second layer of metallic material is removed by planarizing the second layer of metallic material down an overburden portion of the liner layer on the upper surface of the dielectric layer. A surface treatment process (e.g., plasma nitridation) is performed to convert the overburden portion of the liner layer into a layer of metal nitride material. The layer of metal nitride material is selectively etched away using a wet etch process.

Description

    TECHNICAL FIELD
  • This disclosure generally relates to semiconductor fabrication techniques and, in particular, techniques for fabricating metallic interconnect structures.
  • BACKGROUND
  • A semiconductor integrated circuit chip is typically fabricated with a back-end-of-line (BEOL) interconnect structure, which comprises multiple levels of metal lines and inter-level metal vias. The BEOL interconnect structure serves to connect various integrated circuit components and devices that are fabricated as part of a front-end-of-line (FEOL) layer of the semiconductor integrated circuit chip. Current state of the art BEOL process technologies typically implement copper to form BEOL interconnect structures, as the low resistivity of copper material significantly reduces resistance in the BEOL interconnect structure, resulting in improved conduction and higher performance. Conventional process flows for fabricating copper interconnect structures utilize a chemical-mechanical planarizing (CMP) process to remove overburden copper material and overburden liner material from an upper surface of an interlayer dielectric (ILD) layer in which copper interconnect structures are formed, as well as planarize the upper surface of the ILD layer. The use of CMP to remove overburden liner material can result in poor quality copper interconnects for various reasons.
  • For example, due to a non-uniform surface topography, a certain amount of over polish of the ILD layer is required to ensure that all of the overburden metallic liner material is removed from the surface of the ILD layer so that electrical shorts are avoided in the BEOL interconnect network. The over polishing of the ILD layer also results in removing of an upper portion of the copper metallization formed in the ILD layer, which typically comprises high quality copper material (large metallic grains), while leaving lower quality copper material (smaller metallic grains) in the lower portion of the copper metallization. As such, the resulting copper metallization has increased resistance. Furthermore, the over polishing of the surface of the ILD layer results in copper “dishing” of the upper surface of the copper metallization due to the fact that copper polishing rate is typically higher than the polishing rate of the metallic liner material and the dielectric material of the ILD layer. As such, the use of CMP in BEOL process flows can lead to increased electrical resistivity and degraded interconnect reliability.
  • SUMMARY
  • Embodiments of the invention include methods for fabricating metal interconnect structures. For example, in one embodiment, a method for fabricating a device comprises: forming a dielectric layer on a substrate; patterning the dielectric layer to form an opening in the dielectric layer; depositing a first layer of metallic material over the dielectric layer to form a liner layer on an upper surface of the dielectric layer and on exposed surfaces within the opening; depositing a second layer of metallic material to fill the opening with metallic material; removing an overburden portion of the second layer of metallic material by planarizing the second layer of metallic material down an overburden portion of the liner layer on the upper surface of the dielectric layer; applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and selectively etching away the layer of metal nitride material.
  • In another embodiment, a method for fabricating a device comprises: forming an interlayer dielectric (ILD) layer on a substrate; patterning the ILD layer to form a dual damascene opening comprising a via and a trench; depositing a first layer of metallic material over the ILD layer to form a liner layer on an upper surface of the ILD layer and on exposed surfaces within the dual damascene opening; depositing a layer of copper material to fill the dual damascene opening with copper material; performing a heat treatment to convert a first microstructure of at least an upper portion of the copper material in the trench to a second microstructure comprising an average grain size which is greater than an average grain size of the first microstructure; performing a chemical-mechanical planarizing process to remove an overburden portion of the layer of copper material down an overburden portion of the liner layer on the upper surface of the ILD layer; applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and selectively etching away the layer of metal nitride material.
  • Another embodiment includes a semiconductor device. The semiconductor device comprises a metal interconnect structure formed within a dielectric layer, wherein the metal interconnect structure comprises a metal line, and a non-nitride metallic liner disposed between the metal line and the dielectric layer. An upper surface of the non-nitride metallic liner is coplanar with a surface of the dielectric layer, and an upper surface of the metal line extends above the surface of the dielectric layer by an amount of about 0.5 nm to about 10 nm of about 10 nm or less. An upper portion of the metal line comprises grains that have an average grain size which is greater than a critical dimension of the metal line. In another embodiment, the grains in the upper portion of the metal line have an average grain size which is greater than an average grain size of grains in a lower portion of the metal line. In one embodiment, metal line is formed of copper and the non-nitride metallic liner is formed of titanium.
  • Other embodiments will be described in the following detailed description of embodiments, which is to be read in conjunction with the accompanying figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 through 9 schematically illustrate a method for fabricating a metallic interconnect structure of a semiconductor device, according to an embodiment of the invention, wherein:
  • FIG. 1 is a cross-sectional schematic side view of the semiconductor device at an intermediate stage of fabrication after depositing an interlevel dielectric (ILD) layer on a substrate;
  • FIG. 2 is a cross-sectional schematic side view of the semiconductor device of FIG. 1 after patterning the ILD layer to form an opening in the ILD layer;
  • FIG. 3 is a cross-sectional schematic side view of the semiconductor device of FIG. 2 after depositing a metallic liner layer over the surface of the semiconductor device to line the sidewall and bottom surfaces of the opening in the ILD layer with metallic liner material;
  • FIG. 4 is a cross-sectional schematic side view of the semiconductor device of FIG. 3 after depositing a layer of metallic material to fill the opening in the ILD layer with metallic material, wherein the layer of metallic material as deposited comprises a polycrystalline microstructure;
  • FIG. 5 is a cross-sectional schematic side view of the semiconductor device of FIG. 4 after applying a heat treatment to convert the polycrystalline microstructure of at least a portion of the layer of metallic material to a second microstructure comprising an average grain size which is greater than an average grain size of the polycrystalline microstructure;
  • FIG. 6 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after planarizing the surface of the semiconductor device down to an overburden portion of the metallic liner layer on the surface of the ILD layer;
  • FIG. 7 is a cross-sectional schematic side view of the semiconductor device of FIG. 6, which shows a controlled surface treatment being applied to the overburden portion of the metallic liner layer to convert the metallic material of the overburden portion of the metallic liner layer into a metal nitride material;
  • FIG. 8 is a cross-sectional schematic side view of the semiconductor device of FIG. 7 after selectively removing the metal nitride material from the surface of the ILD layer; and
  • FIG. 9 is a cross-sectional schematic side view of the semiconductor device of FIG. 8 after forming a capping layer on the surface of the ILD layer.
  • DETAILED DESCRIPTION
  • Embodiments will now be described in further detail with regard to methods for fabricating low resistance metal interconnect structures using liner planarization-free process flows, as well as semiconductor devices comprising BEOL interconnect structures that are formed using liner planarization-free process flows. For example, as explained in further detail below, embodiments of the invention utilize wet chemical etching (instead of CMP) to selectively remove overburden metallic liner material from the field regions of an ILD layer. The use of wet chemical etching to selectively remove the overburden metallic liner material eliminates the need for over polishing and dishing of the metallization structures (e.g., copper metallization) and allows large metallic grains to maintained in upper regions of the metallization structures. As such, liner planarization-free process flows according to embodiments of the invention enable the formation of BEOL interconnect structures (e.g., copper interconnect structures) with lower resistivity and enhanced reliability.
  • It is to be understood that the various layers, structures, and regions shown in the accompanying drawings are schematic illustrations that are not drawn to scale. In addition, for ease of explanation, one or more layers, structures, and regions of a type commonly used to form semiconductor devices or structures may not be explicitly shown in a given drawing. This does not imply that any layers, structures, and regions not explicitly shown are omitted from the actual semiconductor structures.
  • Furthermore, it is to be understood that the embodiments discussed herein are not limited to the particular materials, features, and processing steps shown and described herein. In particular, with respect to semiconductor processing steps, it is to be emphasized that the descriptions provided herein are not intended to encompass all of the processing steps that may be required to form a functional semiconductor integrated circuit device. Rather, certain processing steps that are commonly used in forming semiconductor devices, such as, for example, wet cleaning and annealing steps, are purposefully not described herein for economy of description.
  • Moreover, the same or similar reference numbers are used throughout the drawings to denote the same or similar features, elements, or structures, and thus, a detailed explanation of the same or similar features, elements, or structures will not be repeated for each of the drawings. It is to be understood that the terms “about” or “substantially” as used herein with regard to thicknesses, widths, percentages, ranges, etc., are meant to denote being close or approximate to, but not exactly. For example, the term “about” or “substantially” as used herein implies that a small margin of error is present, such as 1% or less than the stated amount.
  • FIGS. 1 through 9 schematically illustrate a method for fabricating a metallic interconnect structure of a semiconductor device, according to an embodiment of the invention. To begin, FIG. 1 is a cross-sectional schematic side view of a semiconductor device 100 at an intermediate stage of fabrication after depositing an ILD layer 130 on a substrate 110/120. The substrate 110/120 comprises a base semiconductor substrate 110 and a FEOL (front end of the line) and MOL (middle of the line) structure 120 formed on front side surface of the semiconductor substrate 110. The based semiconductor substrate 110 is illustrated as a generic substrate layer, and may comprise different types of substrate structures.
  • For example, in one embodiment, the semiconductor substrate 110 may comprise a bulk semiconductor substrate formed of, e.g., silicon, or other types of semiconductor substrate materials that are commonly used in bulk semiconductor fabrication processes such as germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy, or compound semiconductor materials (e.g. III-V and II-VI). Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide. In another embodiment, the semiconductor substrate 110 may comprise a SOI (silicon on insulator) substrate, which comprises an insulating layer (e.g., oxide layer) disposed between a base substrate layer (e.g., silicon substrate) and an active semiconductor layer (e.g., active silicon layer) in which active circuit components (e.g., field effect transistors) are formed as part of a FEOL layer.
  • The FEOL/MOL structure 120 comprises a FEOL layer formed on the semiconductor substrate 110. The FEOL layer comprises various semiconductor devices and components that are formed in or on the active surface of the semiconductor substrate 110 to provide integrated circuitry for a target application. For example, the FEOL layer comprises FET devices (such as FinFET devices, planar MOSFET device, etc.), bipolar transistors, diodes, capacitors, inductors, resistors, isolation devices, etc., which are formed in or on the active surface of the semiconductor substrate 110. In general, FEOL processes typically include preparing the semiconductor substrate 110 (or wafer), forming isolation structures (e.g., shallow trench isolation), forming device wells, patterning gate structures, forming spacers, forming source/drain regions (e.g., via implantation), forming silicide contacts on the source/drain regions, forming stress liners, etc.
  • The FEOL/MOL structure 120 further comprises a MOL layer formed on the FEOL layer. In general, the MOL layer comprises a PMD (pre-metal dielectric layer) and conductive contacts (e.g., via contacts) that are formed in the PMD layer. The PMD layer is formed on the components and devices of the FEOL layer. A pattern of openings is formed in the PMD layer, and the openings are filled with a conductive material, such as tungsten, to form conducive via contacts that are in electrical contact with device terminals (e.g., source/drain regions, gate contacts, etc.) of the integrated circuitry of the FEOL layer. The conductive via contacts of the MOL layer provide electrical connections between the integrated circuitry of the FEOL layer and a first level of metallization of a BEOL structure that is formed on the FEOL/MOL structure 120.
  • A BEOL interconnect structure is formed on the FEOL/MOL structure 120 using techniques discussed herein to connect the various integrated circuit components of the FEOL layer. As is known in the art, a BEOL structure comprises multiple levels dielectric material and levels of metallization embedded in the dielectric material. The BEOL metallization comprises horizontal wiring, interconnects, pads, etc., as well as vertical wiring in the form of conductive vias that form connections between different interconnect levels of the BEOL structure. A BEOL fabrication process involves successive depositing and patterning of multiple layers of dielectric and metallic material to form a network of electrical connections between the FEOL devices and to provide I/O connections to external components.
  • In the example embodiment of FIG. 1, the ILD layer 130 is formed as part of a first interconnect layer of a BEOL interconnect structure. The ILD layer 130 may comprise any suitable dielectric material that is commonly utilized in BEOL process technologies. For example, the ILD layer 130 can be formed of a dielectric material including, but not limited to, silicon oxide (e.g. SiO2), silicon nitride (e.g., (Si3N4), hydrogenated silicon carbon oxide (SiCOH), SiCH, SiCNH, or other types of silicon-based low-k dielectrics (e.g., k less than about 4.0), porous dielectrics, or known ULK (ultra-low-k) dielectric materials (with k less than about 2.5). The ILD layer 130 may be deposited using known deposition techniques, such as, for example, ALD (atomic layer deposition), CVD (chemical vapor deposition) PECVD (plasma-enhanced CVD), or PVD (physical vapor deposition), or spin-on deposition. The thickness of the ILD layer 130 will vary depending on the application, and may have a thickness in a range of about 30 nm to about 200 nm, for example.
  • FIG. 2 is a cross-sectional schematic side view of the semiconductor device of FIG. 1 after patterning the ILD layer 130 to form an opening 130-1/130-2 in the ILD layer 130. In the example embodiment of FIG. 3, the opening 130-1/130-2 comprises a via opening 130-1 and a trench opening 130-2. The trench opening 130-2 is formed with a width W, which defines a “critical dimension” (CD) of metal wiring which is subsequently formed by filling the trench opening 130-2 with metallic material (the width W is fixed by the CD of the particular fabrication process that is implemented). The ILD layer 130 can be photolithographically patterned using known damascene techniques to form the opening 130-1/130-2. The example embodiment of FIG. 2 illustrates one method for patterning the via opening 130-1 and the trench opening 130-2 in a single layer of dielectric material (i.e., the ILD layer 130) using one of various “dual” damascene patterning techniques known in the art. In particular, such dual damascene techniques generally include a “via first” process, a “trench first” process, and a “buried via” process, which comprises different sequences for etching the first ILD layer 130 to pattern the via opening 130-1 and trench opening 130-2, but where each type of dual damascene process results in the semiconductor structure shown in FIG. 2. In other embodiments single damascene techniques can be utilized to form the structure shown in FIG. 2. In particular, with a single damascene process, metal vias and metal lines are separately formed in different ILD layers. The damascene patterning can be implemented using any conventional photolithography and etching process, e.g., forming a photoresist mask and etching the dielectric material of the ILD layer using a dry etch process such as RIE (reactive ion etching), etc.
  • Next, FIG. 3 is a cross-sectional schematic side view of the semiconductor device of FIG. 2 after depositing a layer of liner material 140 to line the sidewall and bottom surfaces of the opening 130-1/130-2 in the ILD layer 130 with metallic liner material. The liner layer 140 serves as barrier diffusion layer and as an adhesion layer (or seed layer) with regard to the metallic material (e.g., copper material) which is subsequently deposited to fill the opening 130-1/130-2 in the ILD layer 130 and form a metallic interconnect structure (e.g., metal line and via). In one embodiment, the liner layer 140 is formed of titanium (Ti). In other embodiments, the liner layer 140 may be formed of a single metallic material such as, e.g., tantalum (Ta), aluminum (Al), cobalt (Co), ruthenium (Ru), tungsten (W), iridium (Ir), rhodium (Rh), manganese (Mn), or nickel (Ni), or multiple layers and/or combinations of such metallic materials. In one embodiment, the liner layer 140 comprises a conformal layer of metallic material having thickness in a range of about 1 nm to about 100 nm.
  • FIG. 4 is a cross-sectional schematic side view of the semiconductor device of FIG. 3 after depositing a layer of metallic material 150 to fill the opening 130-1/130-2 in the ILD layer 130 with metallic material. As depicted in FIG. 4, the metallic material within the via opening 130-1 comprises a metal via 150-1, the metallic material within the trench opening 150-2 comprises a metal wire 150-2, and the metallic material disposed over the surface of the ILD layer 130 comprises overburden metallic material 150-3 which is subsequently removed. In one embodiment of the invention, the layer of metallic material 150 comprises copper (Cu). In other embodiments, the metallic material 150 can be, for example, Al, W, Co, Ru, Ir, Rh, Ni, or alloys thereof. The layer of metallic material 150 is deposited using known techniques such as electroplating, electroless plating, CVD, PVD, or a combination of methods.
  • In an exemplary embodiment where the metallic material 150 comprises electroplated copper, the copper metallic material 150 as deposited comprises a polycrystalline microstructure, as schematically illustrated in FIG. 4. A polycrystalline microstructure comprises many crystallites (or grains) of varying size and orientation, and with random texture and no grain direction. A polycrystalline microstructure comprises many grain boundaries, which tends to decrease the electrical conductivity of the metallic material, and tends to enhance electromigration due to grain boundary diffusion of electrons, which is not desirable. Accordingly, to further reduce the electrical resistance of the metal wiring, the metallic material 150 is subjected to a heat treatment to convert the polycrystalline microstructure of the metallic material 150 into a different microstructure comprising larger grains with a given grain direction. For example, in one embodiment, the polycrystalline microstructure is converted into a “bamboo” or “columnar” microstructure, having large elongated grains that extend in a particular direction.
  • For example, FIG. 5 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after applying a heat treatment to the metallic material 150 to convert at least a portion of the metallic material 150 from a polycrystalline microstructure to second microstructure comprising larger grains that are defined by grain boundaries 150A. In one embodiment, the second microstructure comprises a bamboo microstructure. In particular, in one example embodiment of the invention as shown in FIG. 5, the heat treatment is applied to convert the microstructure of the overburden metallic material 150-3 and at least an upper portion of the metallic material 150-2 disposed within the trench opening 130-2 from a polycrystalline microstructure (as shown in FIG. 4) to a larger grain or bamboo microstructure as schematically shown in FIG. 5, while the microstructure of the metallic material 150-2 within the bottom portion of the trench opening 130-2 and the metallic material 150-1 within the via opening 130-1 remains polycrystalline.
  • As specifically shown in FIG. 5, the overburden metallic material 150-3 and the portion of the metallic material 150-2 (which forms a metal line) within the upper region of the trench opening 130-2 comprises large grains that are separated by grain boundaries 150A which extend in substantially the same direction (e.g., in a substantially vertical direction), providing a columnar or bamboo microstructure. This microstructure serves to minimize electromigration as the grain boundaries 150A extend in a substantially vertical direction which is essentially perpendicular to the current flow along the “length” direction of the metal line 150-2 (perpendicular to the width W).
  • In one embodiment, as shown in FIG. 5, an average grain size of the microstructure of the metallic material in an upper region of the trench 130-2 (i.e., the upper region of the metal line 150-2, FIG. 6) is greater than an average size of the polycrystalline microstructure in the lower region of the trench 130-2 (i.e., the lower region of the metal line 150-2, FIG. 6). It is to be understood that the term “average grain size” as used herein is a well-known term of art, which denotes a parameter that can be estimated using known techniques. For example, one technique for estimating the average grain size of a given material is known as the “intercept” method. With this method, a straight line of a given length (L) is drawn through a micrograph of the crystal structure of the given material (e.g., a TEM (Transmission Electron Microscope) or STEM (Scanning Transmission Electron Microscope) microstructure image). The number of grains (N) that the straight line intersects is counted. The average grain size is then determined as (L)/(N).
  • In another embodiment of the invention, the average grain size of the metallic material in the upper region of the trench 130-2 (i.e., the upper region of the metal line 150-2, FIG. 6) in the “length” direction of the trench 130-2 (perpendicular to width W) is greater than a critical dimension (e.g., width W1) of the metal line 150-2.
  • The heat treatment that is used to convert the polycrystalline microstructure of the metallic material 150 to a second microstructure comprising larger grains (e.g., columnar or bamboo microstructure) can be implemented using various techniques. For example, in one embodiment of the invention, the semiconductor structure of FIG. 5 can be placed in a furnace and subjected to a thermal anneal process in a temperature range of about 100 degrees Celsius to about 500 degrees Celsius for a period of about 20 minutes to about three hours. In another embodiment, a laser process can be implemented using known methods in which a laser beam (e.g., pulsed beam) is directed at the surface of the metallic material 150 to heat the metallic material 150 to a temperature which effectively causes a thermal anneal of the metallic material 150 and thus, a conversion of the polycrystalline microstructure to a second microstructure comprising larger grains (e.g., columnar or bamboo microstructure). A laser process can heat the metallic material 150 to a relatively high temperature (e.g., in a range of 200˜1000 degrees Celsius) in a short time frame (e.g., 10 nanoseconds to about 5 minutes). In this regard, the use of a laser process to heat treat the metallic material 150 provides precise temperature control of the metallic material 150, while reducing potential thermal damage to surrounding features/components of the semiconductor structure when laser processing the metallic material 150.
  • A next phase of the fabrication process comprises removing the overburden metallic material 150-3 and the overburden material of the liner layer 140 from the upper surface of the ILD layer 130 using a process flow as schematically illustrated in FIGS. 6, 7, and 8. In particular, FIG. 6 is a cross-sectional schematic side view of the semiconductor device of FIG. 5 after planarizing the surface of the semiconductor device down to the overburden portion of the liner layer 140 on the surface of the ILD layer 140. In one embodiment, a CMP process is performed to remove the overburden metallic material 150-3 while terminating the CMP process when reaching the upper surface of the overburden portion of the liner layer 140. The process of FIG. 6 results in the formation of a metal interconnect structure 155 comprising the metal via 150-1 and the metal line 150-2.
  • This is in contrast to conventional BEOL process flows where, as noted above, the CMP process would continue to remove the overburden portion of the liner layer 140 and over polish the surface of the semiconductor structure to remove an upper portion of the ILD layer 130 and an upper portion of the metal interconnect structure 155 to ensure that the overburden portion of the liner layer 140 is removed. With the conventional process, a portion of the low-resistivity metallic material (large grain metallic material) in the upper region of the metal line 150-2 of the metal interconnect structure 155 would be removed, while leaving the polycrystalline metallic material in the bottom region of the metal line 150-2, thereby resulting in the formation of a metal line with higher resistance (e.g., smaller cross-sectional area with a higher ratio of polycrystalline metallic material to the large grain metallic material).
  • In accordance with embodiments of the invention, the overburden portion of the liner layer 140 is selectively removed using a wet chemical etching process. In particular, as an initial step in this process, a controlled surface treatment is applied to convert the metallic material of the overburden portion of the liner layer 140 into a metal nitride material. For example, FIG. 7 is a cross-sectional schematic side view of the semiconductor device of FIG. 6, which shows a controlled surface treatment 200 being applied to the overburden material of the liner layer 140 in the field region to convert the overburden portion of the liner layer 140 into a layer of metal nitride material 142. The controlled surface treatment 200 comprise a nitridation process which serves to infuse nitrogen (N) atoms into the overburden metallic material of the liner layer 140 to form a metal nitride material. For example, when the liner layer 140 is formed of Ti, the overburden portion of the liner layer 140 is converted into a layer of TiN. Similarly, when the liner layer 140 is formed of, e.g., Ta or W, the overburden portion of the liner layer 140 is converted into TaN or WN, respectively.
  • The controlled surface treatment 200 of FIG. 7 can be implemented using various plasma nitridation methods. In general, for a plasma treatment process, a plasma can be generated using a precursor gas which comprises nitrogen (e.g., N2, NH3, N2H2, or mixtures thereof, etc.) in an inert atmosphere of He or Ar. In addition, in one embodiment, the plasma treatment is performed in a suitable chamber at a temperature in a range of about 80 degrees Celsius to about 900 degrees Celsius, with a plasma energy that is suitable to perform the surface treatment to a target depth.
  • In other embodiments, the controlled surface treatment 200 can be implemented using a gas cluster ion beam (GCIB) process, or a neutral atom beam (NAB) technique. In general, these surface treatment techniques involve a bombardment process in which the overburden portion of the liner layer 140 is bombarded with high energy particles to convert the metallic material of the overburden portion of the liner layer 140 into a metal nitride material. The GCIB and NAB treatment methods are technologies that enable nano-scale modification of surfaces, e.g., chemically alter surfaces through infusion. In particular, with GCIB, the overburden portion of the liner layer 140 is bombarded by a beam of high energy nanoscale cluster ions, which are formed when a high pressure gas (e.g., 10 atmospheres pressure) expands into a vacuum. In one example embodiment, a GCIB treatment can be implemented with a suitable mixture of gases (as noted above), in temperature range of about 80 degrees Celsius to about 900 degrees Celsius, and an energy in a range of about 10 keV to about 30 keV to chemically infuse nitrogen atoms into the metallic material of the overburden portion of the liner layer 140 at a controlled penetration depth of less than about 10 nm, and thereby form the metal nitride layer 142 as shown in FIG. 7.
  • Similarly, a NAB surface treatment enables ultra-shallow processing of surfaces by converting energized gas cluster ions produced by a GCIB method into intense collimated beams of coincident neutral gas atoms having controllable average energies from about 10 eV per atom to about 100 eV per atom. An electrostatic deflector is used to eliminate charged species in the environment, while allowing the released neutral atoms to bombard the surface of the overburden portion of the liner layer 140 and produce effects similar to those normally associated with GCIB, but to shallower controlled penetration depths (e.g., about 3 nm or less). The type of plasma process utilized will depend, for example, on the thickness of the overburden portion of the liner layer 140 so that sufficient penetration depths are achieved to convert the entire thickness of the overburden portion of the liner layer 140 to a metal nitride material.
  • Following completion of the controlled surface treatment 200, the metal nitride layer 142 disposed on the surface of the ILD layer 140 is selectively removed using a wet etch process. In particular, FIG. 8 is a cross-sectional schematic side view of the semiconductor device of FIG. 7 after selectively removing the layer of metal nitride material 142 from the surface of the ILD layer without removing any dielectric material of the ILD layer 130 or any metallic material of the metal line 150-2. In one embodiment, the layer of metal nitride material 142 (e.g., TiN) is removed selective to the metallic material of the liner layer 140 (e.g., Ti) using a wet etch process with an etch chemistry comprising a mixture of hydrogen peroxide (H2O2) and an acid. For example, the acid may comprise at least one of hydrofluoric acid (HF), hydrochloric acid (HCl), sulfuric acid (H2SO4), peroxynitric acid (HNO4), or other suitable acids, etc.
  • The selective removal of the layer of metal nitride material 142 as discussed above effectively serves to remove the overburden portion of the liner layer 140 by using a CMP-free process, and thereby avoid removal of the upper portions of the ILD layer 130 and the metal line 150-2 as in conventional methods in which CMP is used to over polish the surface of the semiconductor structure to ensure removal of the overburden metallic material of the liner layer 140. Indeed, as shown in FIG. 8, after the layer of metal nitride material 142 is etched away, an upper surface of the liner layer 140 will be substantially coplanar with a surface of the dielectric layer 130, and the upper surface of the metal line 150-2 of the metal interconnect structure 155 will be slightly extended above the surface of the ILD layer 130 at a height H. In one embodiment, the height H is in a range of about 0.5 nm to about 10 nm. This is in contrast to conventional methods in which CMP is utilized to remove the overburden materials of the liner layer 140 and metallic layer 150 and over polish the ILD layer 130, wherein the upper surface of the metal line 150-2 would be either level with the planarized surface of the ILD layer 130 or recessed/dished below the surface of the ILD layer 130.
  • Following removal of the layer of metal nitride material 142, a capping layer is formed over the semiconductor structure shown in FIG. 8. In particular, FIG. 9 is a cross-sectional schematic side view of the semiconductor device of FIG. 8 after forming a capping layer 160 over the surface of the ILD layer 130 and the exposed surface of the metal interconnect structure 155. The capping layer 160 is formed of a dielectric material which is non-reactive with the metallic material that is used to form the metal interconnect structure 155 and other metal interconnect structures that are formed in ILD layer 130 of the first interconnect level of the BEOL layer. The capping 160 layer serves to insulate exposed surface portions of the metallization structures formed in the ILD layer 130 from the dielectric material of another ILD layer (second interconnect level) formed on top of the ILD layer 130. For example, in a BEOL structure using copper metallization, the dielectric capping layer 160 serves to improve interconnect reliability by preventing oxidation of the copper metallization and preventing copper material of the metallization structures from diffusing into the dielectric material of the ILD layer.
  • The dielectric capping layer 160 could be formed of silicon nitride (SiN), silicon carbide (SiC), silicon carbon nitride (SiCN), hydrogenated silicon carbide (SiCH), or a multilayer stack comprising the same or different types of dielectric materials, etc., and deposited using standard deposition techniques, for example, chemical vapor deposition. The capping layer 160 can be formed with a thickness in a range from about 2 nm to about 60 nm. On the other hand, if the metallic material used to form the metallization structures in the ILD layer 130 comprises tungsten, for example, another ILD layer could be deposited directly on the ILD layer 130 (without having to form the capping layer 160) as tungsten is not reactive with typical dielectric materials that are used to form ILD layers.
  • The process flow of FIGS. 1 through 9 can be repeated to form one or more additional interconnect levels above the ILD layer 130 shown in FIG. 9 to construct a BEOL layer. The process flows described herein for fabricating metal interconnect structures allow for the fabrication of metal lines with large grain structures with reduced resistivity, while eliminating the need for over polishing the ILD layers and metallization structures at each interconnect level to remove overburden liner material from the field regions during BEOL fabrication. The CMP-free removal of the overburden metallic material of the liner layers protects the ILD layers from dielectric damage due to CMP, as well as prevents dishing/recess of the upper surface of the metallization structures due to CMP, as in conventional methods discussed above.
  • It is to be understood that the methods discussed herein for fabricating metallic interconnect structures (e.g., copper BEOL interconnect structures) can be incorporated within semiconductor processing flows for fabricating other types of semiconductor devices and integrated circuits with various analog and digital circuitry or mixed-signal circuitry. In particular, integrated circuit dies can be fabricated with various devices such as field-effect transistors, bipolar transistors, metal-oxide-semiconductor transistors, diodes, capacitors, inductors, etc. An integrated circuit in accordance with the present invention can be employed in applications, hardware, and/or electronic systems. Suitable hardware and systems for implementing the invention may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating such integrated circuits are considered part of the embodiments described herein. Given the teachings of the invention provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of the techniques of the invention.
  • Although exemplary embodiments have been described herein with reference to the accompanying figures, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made therein by one skilled in the art without departing from the scope of the appended claims.

Claims (18)

1. A method for fabricating a device, comprising:
forming a dielectric layer on a substrate;
patterning the dielectric layer to form an opening in the dielectric layer;
depositing a first layer of metallic material over the dielectric layer to form a liner layer on an upper surface of the dielectric layer and on exposed surfaces within the opening;
depositing a second layer of metallic material to fill the opening with metallic material;
removing an overburden portion of the second layer of metallic material by planarizing the second layer of metallic material down to an overburden portion of the liner layer on the upper surface of the dielectric layer;
applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and
selectively etching away the layer of metal nitride material.
2. The method of claim 1, wherein the first layer of metallic material comprises titanium.
3. The method of claim 1, wherein the first layer of metallic material comprises at least one of tantalum, tungsten, aluminum, cobalt, ruthenium, iridium, rhodium, manganese, and nickel.
4. The method of claim 1, wherein the second layer of metallic material comprises copper.
5. The method of claim 1, wherein applying a surface treatment comprises performing a plasma nitridation surface treatment to infuse nitrogen atoms into the overburden portion of the liner layer.
6. The method of claim 1, wherein applying a surface treatment comprises performing one of a gas cluster ion beam (GCIB) process and a neutral atom beam (NAB) process to infuse nitrogen atoms into the overburden portion of the liner layer.
7. The method of claim 1, wherein selectively etching away the layer of metal nitride material comprises performing a wet etch process to etch away the layer of metal nitride material selective to a remaining portion of the liner layer.
8. The method of claim 7, wherein the wet etch process is performed with an etch solution comprising a mixture of hydrogen peroxide and an acid.
9. The method of claim 8, wherein the acid comprises at least one of hydrofluoric acid, hydrochloric acid, sulfuric acid, and peroxynitric acid.
10. The method of claim 1, further comprising forming a capping layer over the dielectric layer after selectively etching away the layer of metal nitride material.
11. The method of claim 1, wherein the layer of dielectric material comprises an interlayer dielectric (ILD) layer of a back-end-of-line (BEOL) structure.
12. The method of claim 1, further comprising performing a heat treatment to convert a microstructure of the deposited second layer of metallic material from a first microstructure to a second microstructure, prior to removing the overburden portion of the liner layer, wherein the first microstructure comprises a polycrystalline microstructure and wherein the second microstructure comprises an average grain size which is greater than an average grain size of the polycrystalline microstructure.
13. A method for fabricating a device, comprising:
forming an interlayer dielectric (ILD) layer on a substrate;
patterning the ILD layer to form a dual damascene opening comprising a via and a trench;
depositing a first layer of metallic material over the ILD layer to form a liner layer on an upper surface of the ILD layer and on exposed surfaces within the dual damascene opening;
depositing a layer of copper material to fill the dual damascene opening with copper material;
performing a heat treatment to convert a first microstructure of at least an upper portion of the copper material in the trench to a second microstructure comprising an average grain size which is greater than an average grain size of the first microstructure;
performing a chemical-mechanical planarizing process to remove an overburden portion of the layer of copper material down to an overburden portion of the liner layer on the upper surface of the ILD layer;
applying a surface treatment to convert the overburden portion of the liner layer into a layer of metal nitride material; and
selectively etching away the layer of metal nitride material.
14. The method of claim 13, wherein the first layer of metallic material comprises titanium.
15. The method of claim 13, wherein applying a surface treatment comprises performing a plasma nitridation surface treatment to infuse nitrogen atoms into the overburden portion of the liner layer.
16. The method of claim 13, wherein selectively etching away the layer of metal nitride material comprises performing a wet etch process to etch away the layer of metal nitride material selective to a remaining portion of the liner layer.
17. The method of claim 16, wherein the wet etch process is performed with an etch solution comprising a mixture of hydrogen peroxide and an acid, wherein the acid comprises at least one of hydrofluoric acid, hydrochloric acid, sulfuric acid, and peroxynitric acid.
18-20. (canceled)
US15/295,250 2016-10-17 2016-10-17 Liner planarization-free process flow for fabricating metallic interconnect structures Expired - Fee Related US10431464B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/295,250 US10431464B2 (en) 2016-10-17 2016-10-17 Liner planarization-free process flow for fabricating metallic interconnect structures
US16/423,404 US10741397B2 (en) 2016-10-17 2019-05-28 Liner planarization-free process flow for fabricating metallic interconnect structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/295,250 US10431464B2 (en) 2016-10-17 2016-10-17 Liner planarization-free process flow for fabricating metallic interconnect structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/423,404 Division US10741397B2 (en) 2016-10-17 2019-05-28 Liner planarization-free process flow for fabricating metallic interconnect structures

Publications (2)

Publication Number Publication Date
US20180108610A1 true US20180108610A1 (en) 2018-04-19
US10431464B2 US10431464B2 (en) 2019-10-01

Family

ID=61904700

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/295,250 Expired - Fee Related US10431464B2 (en) 2016-10-17 2016-10-17 Liner planarization-free process flow for fabricating metallic interconnect structures
US16/423,404 Active US10741397B2 (en) 2016-10-17 2019-05-28 Liner planarization-free process flow for fabricating metallic interconnect structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/423,404 Active US10741397B2 (en) 2016-10-17 2019-05-28 Liner planarization-free process flow for fabricating metallic interconnect structures

Country Status (1)

Country Link
US (2) US10431464B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190006234A1 (en) * 2017-07-03 2019-01-03 Globalfoundries Inc. Interconnects with hybrid metallization
US10424552B2 (en) * 2017-09-20 2019-09-24 Texas Instruments Incorporated Alloy diffusion barrier layer
CN113380699A (en) * 2021-05-13 2021-09-10 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same
US20220302157A1 (en) * 2021-03-22 2022-09-22 Samsung Electronics Co., Ltd. Through via structure, semiconductor device including the through via structure, and massive data storage system including the semiconductor device
US11528811B2 (en) * 2017-09-27 2022-12-13 Intel Corporation Method, device and system for providing etched metallization structures

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238500A (en) * 1990-05-15 1993-08-24 Semitool, Inc. Aqueous hydrofluoric and hydrochloric acid vapor processing of semiconductor wafers
US20040051075A1 (en) * 1999-09-20 2004-03-18 Tdk Corporation Magnetic ferrite composition and process of production thereof
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US20050085070A1 (en) * 2003-10-20 2005-04-21 Hynix Semiconductor Inc. Method for forming metal interconnection line in semiconductor device
US20100002907A1 (en) * 2000-12-29 2010-01-07 Contentguard Holdings, Inc. Multi-stage watermarking process and system
US20140000351A1 (en) * 2012-06-27 2014-01-02 International Business Machines Corporation Dynamic rack cabinet stability testing
US20150009389A1 (en) * 2009-04-17 2015-01-08 Canon Kabushiki Kaisha Photo-electric conversion device and image capturing system
US20150137259A1 (en) * 2013-11-18 2015-05-21 Hauk Han Semiconductor device
US20160020142A1 (en) * 2014-07-17 2016-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5011580A (en) 1989-10-24 1991-04-30 Microelectronics And Computer Technology Corporation Method of reworking an electrical multilayer interconnect
US5236551A (en) 1990-05-10 1993-08-17 Microelectronics And Computer Technology Corporation Rework of polymeric dielectric electrical interconnect by laser photoablation
US5656554A (en) 1994-07-29 1997-08-12 International Business Machines Corporation Semiconductor chip reclamation technique involving multiple planarization processes
US6010964A (en) 1997-08-20 2000-01-04 Micron Technology, Inc. Wafer surface treatment methods and systems using electrocapillarity
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6939795B2 (en) 2002-09-23 2005-09-06 Texas Instruments Incorporated Selective dry etching of tantalum and tantalum nitride
US6150260A (en) 1998-07-06 2000-11-21 Chartered Semiconductor Manufacturing Ltd. Sacrificial stop layer and endpoint for metal CMP
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US6157081A (en) 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6340601B1 (en) 1999-08-02 2002-01-22 International Business Machines Corporation Method for reworking copper metallurgy in semiconductor devices
US6299516B1 (en) 1999-09-28 2001-10-09 Applied Materials, Inc. Substrate polishing article
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6375541B1 (en) 2000-01-14 2002-04-23 Lucent Technologies, Inc. Polishing fluid polishing method semiconductor device and semiconductor device fabrication method
US6376335B1 (en) 2000-02-17 2002-04-23 Memc Electronic Materials, Inc. Semiconductor wafer manufacturing process
US6348706B1 (en) 2000-03-20 2002-02-19 Micron Technology, Inc. Method to form etch and/or CMP stop layers
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6464568B2 (en) 2000-12-04 2002-10-15 Intel Corporation Method and chemistry for cleaning of oxidized copper during chemical mechanical polishing
KR20020051062A (en) 2000-12-22 2002-06-28 박종섭 Method for manufacturing Tantalium Oxy Nitride capacitor
US20020119245A1 (en) 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US20020180052A1 (en) 2001-06-05 2002-12-05 Nace Layadi Polish or etch stop layer
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US6987064B2 (en) 2002-10-21 2006-01-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and composition to improve a nitride/oxide wet etching selectivity
US7008803B2 (en) 2002-10-24 2006-03-07 International Business Machines Corporation Method of reworking structures incorporating low-k dielectric materials
US6674168B1 (en) 2003-01-21 2004-01-06 International Business Machines Corporation Single and multilevel rework
US7253098B2 (en) 2004-08-27 2007-08-07 International Business Machines Corporation Maintaining uniform CMP hard mask thickness
US7422983B2 (en) 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
CN101248516A (en) 2005-04-08 2008-08-20 塞克姆公司 Selective wet etching of metal nitrides
JP4738959B2 (en) 2005-09-28 2011-08-03 東芝モバイルディスプレイ株式会社 Method for forming wiring structure
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
CN1955249B (en) 2005-10-28 2012-07-25 安集微电子(上海)有限公司 Chemical mechanical polishing material for tantalum barrier layer
US7709344B2 (en) 2005-11-22 2010-05-04 International Business Machines Corporation Integrated circuit fabrication process using gas cluster ion beam etching
US7531384B2 (en) 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US7625815B2 (en) 2006-10-31 2009-12-01 International Business Machines Corporation Reduced leakage interconnect structure
US7666781B2 (en) 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
KR101409433B1 (en) 2010-12-28 2014-06-24 캐논 아네르바 가부시키가이샤 Method and apparatus for manufacturing semiconductor device
US9269612B2 (en) 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US8871635B2 (en) 2012-05-08 2014-10-28 GlobalFoundries, Inc. Integrated circuits and processes for forming integrated circuits having an embedded electrical interconnect within a substrate
US8754527B2 (en) * 2012-07-31 2014-06-17 International Business Machines Corporation Self aligned borderless contact
US8987859B2 (en) 2012-12-04 2015-03-24 Intel Corporation Techniques for enhancing dielectric breakdown performance
US8987133B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
CN103972156B (en) 2013-02-06 2016-09-14 中芯国际集成电路制造(上海)有限公司 Semiconductor interconnection structure and preparation method thereof
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9275874B2 (en) 2013-08-30 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using chemical mechanical planarization to recess metal
TWI633604B (en) * 2013-09-27 2018-08-21 美商應用材料股份有限公司 Method of enabling seamless cobalt gap-fill
US9343408B2 (en) 2013-11-08 2016-05-17 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/H2SO4 solution
US9190285B1 (en) 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US10593801B2 (en) 2015-04-10 2020-03-17 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
US10256089B2 (en) 2017-06-19 2019-04-09 Globalfoundries Inc. Replacement contact cuts with an encapsulated low-K dielectric

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238500A (en) * 1990-05-15 1993-08-24 Semitool, Inc. Aqueous hydrofluoric and hydrochloric acid vapor processing of semiconductor wafers
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US20040051075A1 (en) * 1999-09-20 2004-03-18 Tdk Corporation Magnetic ferrite composition and process of production thereof
US20100002907A1 (en) * 2000-12-29 2010-01-07 Contentguard Holdings, Inc. Multi-stage watermarking process and system
US20050085070A1 (en) * 2003-10-20 2005-04-21 Hynix Semiconductor Inc. Method for forming metal interconnection line in semiconductor device
US20150009389A1 (en) * 2009-04-17 2015-01-08 Canon Kabushiki Kaisha Photo-electric conversion device and image capturing system
US20140000351A1 (en) * 2012-06-27 2014-01-02 International Business Machines Corporation Dynamic rack cabinet stability testing
US20150137259A1 (en) * 2013-11-18 2015-05-21 Hauk Han Semiconductor device
US20160020142A1 (en) * 2014-07-17 2016-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190006234A1 (en) * 2017-07-03 2019-01-03 Globalfoundries Inc. Interconnects with hybrid metallization
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
US10424552B2 (en) * 2017-09-20 2019-09-24 Texas Instruments Incorporated Alloy diffusion barrier layer
US11528811B2 (en) * 2017-09-27 2022-12-13 Intel Corporation Method, device and system for providing etched metallization structures
US20220302157A1 (en) * 2021-03-22 2022-09-22 Samsung Electronics Co., Ltd. Through via structure, semiconductor device including the through via structure, and massive data storage system including the semiconductor device
US11903199B2 (en) * 2021-03-22 2024-02-13 Samsung Electronics Co., Ltd. Through via structure, semiconductor device including the through via structure, and massive data storage system including the semiconductor device
CN113380699A (en) * 2021-05-13 2021-09-10 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
US10741397B2 (en) 2020-08-11
US20190279873A1 (en) 2019-09-12
US10431464B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
US11404311B2 (en) Metallic interconnect structures with wrap around capping layers
US10741397B2 (en) Liner planarization-free process flow for fabricating metallic interconnect structures
US9793156B1 (en) Self-aligned low resistance metallic interconnect structures
US10373910B2 (en) Metal alloy capping layers for metallic interconnect structures
CN111566800B (en) Low resistivity metal interconnect structure with self-forming diffusion barrier layer
US9177858B1 (en) Methods for fabricating integrated circuits including barrier layers for interconnect structures
US10903116B2 (en) Void-free metallic interconnect structures with self-formed diffusion barrier layers
TWI821416B (en) A method of forming a semiconductor device
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
US10224242B1 (en) Low-resistivity metallic interconnect structures
US10211155B2 (en) Reducing metallic interconnect resistivity through application of mechanical strain
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
US9853025B1 (en) Thin film metallic resistors formed by surface treatment of insulating layer
US6992004B1 (en) Implanted barrier layer to improve line reliability and method of forming same
US10529621B2 (en) Modulating the microstructure of metallic interconnect structures
US20230045140A1 (en) Barrier Schemes for Metallization Using Manganese and Graphene
KR20240042464A (en) Barrier construction for metal interconnects using manganese and graphene
TW202306158A (en) Semiconductor device structure and methods of forming the same
KR20210122647A (en) Ion implant process for defect elimination in metal layer planarization

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YANG, CHIH-CHAO;REEL/FRAME:040381/0631

Effective date: 20161017

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20231001