WO1992007969A1 - Apparatus for depositing material into high aspect ratio holes - Google Patents

Apparatus for depositing material into high aspect ratio holes Download PDF

Info

Publication number
WO1992007969A1
WO1992007969A1 PCT/US1991/000540 US9100540W WO9207969A1 WO 1992007969 A1 WO1992007969 A1 WO 1992007969A1 US 9100540 W US9100540 W US 9100540W WO 9207969 A1 WO9207969 A1 WO 9207969A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
vacuum chamber
sputter
coil
plasma
Prior art date
Application number
PCT/US1991/000540
Other languages
French (fr)
Inventor
Michael Scott Barnes
John Curt Forster
John Howard Keller
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP3504135A priority Critical patent/JPH0816266B2/en
Publication of WO1992007969A1 publication Critical patent/WO1992007969A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/342Hollow targets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3452Magnet distribution

Definitions

  • This invention relates to the deposition of materials onto a semiconductor substrate and more particularly, a high plasma density, low pressure, low temperature, sputtering tool for depositing materials uniformly into high aspect ratio holes or trenches.
  • AR aspect ratio
  • Prior techniques used to deposit materials into high AR holes include: chemical vapor deposition
  • CVD electron cyclotron resonance chemical vapor deposition
  • ECR CVD electron cyclotron resonance chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • rf sputtering rf sputtering
  • CVD is undesirable for two reasons: (a) it is a relatively slow process; and (b) high temperature substrate curing is required following the deposition. High temperature curing is unfavorable for large scale integrated (LSI) components.
  • LSI large scale integrated
  • ECR CVD and PECVD have been introduced to lower the required curing temperatures. These techniques, however, are also undesirable because the films produced often contain hydrogen, thereby making them dimensionally unstable at elevated temperatures. Also, these techniques, like all CVD processes, are slow processes that restrain throughput.
  • rf sputtering does not fill high AR holes uniformly because there is no directionality to the de position.
  • the sputtered atoms in the plasma generated are primarily neutral (plasma referred to as having a low ionization ratio) .
  • the resultant random neutral atom impingment on the substrate causes sputtered material to build up along the walls of the holes faster than the bottom thereby producing a void in the filled hole (a condition referred to as angel wings) which makes contact to the filled hole unreliable.
  • the background gas e.g. Argon
  • a further disadvantage to traditional rf sputtering is that it is difficult to obtain a plasma density high enough to sputter at energy efficient voltages for single substrate deposition.
  • ONO discloses an ECR plasma generator adapted for depositing metals on a substrate by placing a cylindrical sputtering target around the plasma stream at the plasma extraction window. Sputtered particles are activated for film formation reaction in the high plasma density region of magnetron mode discharge and in the plasma stream. Film formation reaction is also enhanced by the moderate energy ion bombardment irradiated by the plasma stream.
  • This system provides higher deposition rates than prior sputtering techniques, but it doesn't provide directionality to the deposition (due to a low ionization ratio) and therefore fails to provide a solution to the aforementioned angel wing problem.
  • YAMASHITA discloses a sputtering system with a high-frequency discharge coil placed between the target and the substrate holder. This configuration can produce a plasma with a variable ionization ratio of the sputtered atoms. High ionization ratio deposition is not practical with this apparatus, however, because secondary electrons generated by the ions impinge on the substrate and thereby cause excessive substrate heating. Furthermore, high deposition rates can only be achieved with this device when the deposition is dominated by neutral atoms. Depositions dominated by neutral atoms have no directionality and therefore cause angel winging in high AR holes.
  • MATSUOKO discloses a sputtering system using an electric mirror consisting of a planar target, a cylindrical target, a magnetic coil, and a substrate plate.
  • High plasma densities (of primarily neutral atoms) in close proximity to the cylindrical target are obtained by this configuration, but like the ONO configuration, there is no directionality to the deposition and angel winging occurs.
  • An efficient, reliable system to deposit materials into high AR holes on semiconductor substrates which avoids the problems of the previously mentioned systems is highly desirable.
  • An object of the present invention is to provide an improved sputtering system for high rate material deposition.
  • Another object is to provide for a deposition system which can uniformly fill high aspect ratio holes and trenches of semiconductor substrates.
  • a rf sputtering apparatus includes a circular end sputter target and a biased substrate at opposite ends of a vacuum chamber.
  • a cylindrical sputter target is positioned between the end target and substrate.
  • Rf or DC bias is applied to the targets in order to produce sputtering.
  • Permanent magnets are placed in close proximity to the sputter targets to cause magnetron discharges.
  • a rf coil is disposed inside the chamber to inductively couple rf power into the chamber to maintain a high density plasma in order to ionize sputter neutrals and cause the plasma to be more evenly distributed throughout the chamber.
  • the rf coil may be magnetized to prevent recombination of the plasma with the surface of the coil.
  • the present invention produces good quality films at fast rates, low pressure and low temperature. It is compact and easily manufacturable and can be. used to produce sputter ion deposition. Also, the uniformity of deposition can be adjusted for different requirements, including filling high AR holes.
  • FIG. 1 is a cross sectional, schematic drawing of a first embodiment of a sputter system according to the present invention.
  • FIG. 2 is a perspective, cross sectional, schematic drawing of a first embodiment of a sputter system according to the present invention.
  • FIG. 3 is a cross sectional view taken along line 3-3 of FIG.l.
  • FIG. 4 is a cross sectional, schematic drawing of second embodiment of a sputter system according to the present invention.
  • FIG. 5 is a cross sectional, schematic drawing of a third embodiment of a sputter system according to the present invention.
  • FIG. 6 is a cross sectional, schematic drawing of a fourth embodiment of a sputter system according to the present invention.
  • FIG. 7 is a side view of rf coil according to the present invention.
  • FIG. 8 is a cross sectional view, taken along line 8-8 of FIG. 7 , of a first embodiment of a rf coil according to the present invention.
  • FIG. 9 is a cross sectional view, taken along line 9-9 of FIG. 7, of a second embodiment of a rf coil according to the present invention. Best Mode For Carrying Out the Invention
  • a sputter system 10 includes a vacuum chamber 20, which contains a circular end sputter target 12, a hollow, cylindrical, thin, cathode magnetron target 14, a rf coil 16 and a chuck 18, which holds a semiconductor substrate 19.
  • the atmosphere inside the vacuum chamber 20 is controlled through channel 22 by a pump
  • the vacuum chamber 20 is cylindrical and has a series of permanent, magnets 24 positioned around the chamber and in close proximity therewith to create a multipole field configuration near the interior surface 15 of target 12.
  • Magnets 26, 28 are placed above end sputter target 12 to also create a multipole field in proximity to target 12.
  • a singular magnet 26 is placed above the center of target 12 with a plurality of other magnets 28 disposed in a circular formation around magnet 26. For convenience, only two magnets 24 and 28 are -shown.
  • the configuration of target 12 with magnets 26, 28 comprises a magnetron sputter source 29 known in the prior art, such as the Torus-lOE system manufactured by K. Lesker, Inc..
  • a sputter power supply 30 (DC or rf) is connected by a line 32 to the sputter target 12.
  • a rf supply 34 provides power to rf coil 16 by a line 36 and through a matching network 37.
  • Variable impedance 38 is connected in series with the cold end 17 of coil 16.
  • a second sputter power supply 39 is connected by a line 40 to cylindrical sputter target 14.
  • a bias power supply 42 (DC or rf) is connected by a line 44 to chuck 18 in order to provide electrical bias to substrate 19 placed thereon, in a manner well known in the prior art.
  • Power supplies 30, 39 cause atoms to be sputtered off of targets 12, 14, as is well known in the prior art.
  • Sputter targets 12, 14 must therefore be made of the material to be deposited on the substrate, such as copper.
  • Rf coil 16 must also either be made of, or coated with the deposition material in order to prevent contamination of the deposited film.
  • the magnets 24 create static B fields which extend into the vacuum chamber 20 and form "cusps"
  • rf power supply 34 Power provided by rf power supply 34 is transmitted on line 36 through matching network 37 to rf coil 16, and thereby inductively coupled into the aforementioned plasma in order to sustain the plasma and cause a more even plasma distribution throughout the vacuum chamber 20.
  • a variable impedance 38 may be placed in series with the rf coil 16 to control the rf voltage on the coil.
  • the plasma created by the present invention will have a high ionization fraction of sputtered atoms due to: a) ionization by primary electrons; b) ion charge exchange with the sputtering gas ions (e.g. Argon ions) ; and c) Penning ionization ⁇ f the sputtered atoms by the sputtering gas.
  • Penning ionization is particularly sensitive to the chamber pressure (part of which is attributable to sputter gas pressure) , with optimum pressure ranging from lOmTorr to 50mTorr. If the pressure is too high, the sputtered atoms diffuse back into the target, thereby lowering the effective deposition rate. Also, the plasma density will be lowered which will reduce the amount of power coupled to the plasma. If the pressure is too low, Penning ionization will stop altogether.
  • the DC or rf bias provided by voltage source 42 to the substrate 19 will determine the directionality of the deposition because the bias affects: a) the trajectories of the ionized sputtered atoms; and b) the amount of resputtering. Consequently, the trajectories of the ions can be adjusted such that high AR holes in the substrate may be uniformly filled without angel winging effects.
  • heavier gases gases with an atomic mass greater than the atomic mass of the sputtered material
  • Xenon may be added to the vacuum chamber to further affect the deposition profile by reducing angel winging effects.
  • the uniformity of deposition can be adjusted by changing the voltage or power split between the targets 12, 14 because cylindrical target 14 produces the highest deposition rate on the outside part of substrate 19 and the target 12 produces the highest deposition rate on the inside part of substrate 19.
  • Another advantage to the present invention is that the magnetic fields created by magnets 26, 28 confine free electrons produced by the end sputter target 12, thereby lowering secondary electron heat loading of the substrate 19. Referring now to FIG. 2, sputter targets 12,14 are provided power by respective sources 30,38,
  • Magnets 24 cause regions 48 of intense plasma in close proximity to the interior surface 15 of target 14.
  • Rf power provided by source 34 is inductively coupled into the plasma through coil 16. Bias applied by voltage source 42 through line 44 to chuck 18 will attract ionized atoms onto substrate 19 and facilitate directional deposition.
  • magnets 24 create a static multipole B field, represented by flux lines
  • This field acts in conjunction with secondary electrons from targets 12,14 to create intense, magnetron discharge, plasma regions as represented by the shaded areas 48.
  • a second embodiment of the present invention includes a rf coil 16 which is used as the sole sputter source of a deposition apparatus by providing DC bias to the coil using a DC source 50 coupled through a rf decoupling network
  • Rf coil 16 must either be manufactured from or coated with the desired sputter material.
  • Rf power is supplied to the coil through line 58 using rf power supply 60 and matching network 57.
  • a variable shunt impedance 56 may be used to control the rf .voltage on coil 16. In the absence of this shunt, the cold end 17 of coil 16 must be capacitively connected to ground (i.e. by replacing shunt 56 with a large capacitor) .
  • a plurality of magnets 62 (only two of which are shown) , are placed around the vacuum chamber 20. The resultant magnetic fields 62 create surface magnetic confinement of plasma electrons, thus producing an intense plasma and thereby causing a high ionization ratio of the neutral sputtered atoms. These ions are directionally accelerated toward the biased substrate 19, which is controlled by DC or rf bias supply 42 through line 44.
  • a third embodiment of the present invention includes a circular end sputter target 66 and a cylindrical, hollow, cathode magnetron sputter target 68 contained within vacuum chamber 70.
  • Power sources 30,38 provide electrical energy necessary to cause sputtering of respective targets 66,68 as previously described.
  • Electromagnets 74 create magnetron discharges adjacent to target 68 and thereby produce a high fraction of sputter material ions which are accelerated towards the biased substrate 19.
  • Voltage source 42 biases substrate 19 through line 44.
  • a microwave power source (not shown) provides microwave energy through wave guide 72 and is a substitute power source for the rf coil 16 used in previously described embodiments.
  • the resultant plasma can be maintained by: a) microwave power (as in an "ECR" type plasma) ; b) rf or DC voltage applied to the targets; c) rf induction; and d) Whistler waves, all of which may be used singularly or in combinations thereof.
  • the uniformity of deposition can be adjusted by changing the voltage or power between the end target 66 and cylindrical magnetron target 68 because the cylindrical target 68 produces the highest deposition rate on the outside part of substrate 19 and the end target 66 produces the highest deposition rate on the inside part of substrate 19.
  • Electromagnets 74 are used in the third embodiment because it is necessary to have a strong B field (about .1 Tesla) over the majority of the chamber volume.
  • the other embodiments of the present invention described herein require only strong localized B fields in close proximity to the sputter targets. Permanent magnets are therefore acceptable as the B field source although electromagnets could very well be used in there place.
  • a fourth embodiment of the present invention includes a rf coil 16, rf supply 34, matching network 37, variable series impedance 38, chuck 18, substrate 19, and bias supply
  • sputter targets 76, 77 are biased by signal sources 80, 30 through lines 82,32 respectively.
  • Ring magnets 78 are radially magnetized.
  • Intense magnetron discharges (represented by shaded patterns 86) will occur in the spaces between the multipole magnetic cusps (represented by flux lines 88) .
  • auxiliary magnets 26, 28 may be placed on the top and bottom of the chamber 20 to increase the plasma confinement and to create additional regions of magnetron discharge.
  • the sputtered material will be deposited on substrate 19 , which is biased by supply
  • the bias on the substrate will determine the directionality of the deposition because it affects the trajectories of the ionized sputtered atoms.
  • the ions produced in vacuum chamber 20 of all of the aforementioned embodiments of the present invention, as illustrated in FIGS. 1-6, which strike the substrate 19 will have low angular divergence after crossing the plasma sheath and therefore will fill high AR holes uniformly.
  • the temperature of the chuck 18 and substrate 19 can be controlled by any of the standard methods well known in the prior art, including electrostatic clamping with backside helium gas conduction.
  • the aforementioned embodiments of the present invention provide for high plasma densities (greater than 10 11 cm -3) to be distributed throughout a major portion of the vacuum chamber.
  • low pressures e.g. .02 to lOmTorr
  • the volatiles e.g. hydrogen
  • the desired components may be maintained in the plasma (by sputtering from the targets 66,68) until they are deposited on the substrate 19.
  • the particular amount of resputtering and the energy per ion necessary to obtain desired film properties may be changed by varying the rf bias to the substrate 19.
  • the high plasma density generated makes it possible to turn off the flow of sputtering gas (e.g.
  • rf coil 16 includes a hollow tube 90 having permanent magnets (92 or 94) disposed inside. Placing magnets (92 or 94) inside the tube accomplishes three things: 1) it reduces the plasma loss area (due to recombination of the sputtered atoms with the coil) to the magnetic pole area; 2) it produces crossed dc and rf magnetic fields which can drive the plasma through Whistler mode plasma waves; and 3) it reduces the capacitive coupling losses of the coil.
  • permanent magnets 92 may be disposed inside tube 90 with their magnetization directed radially outward from the center of the tube to thereby produce line cusps (not shown) along the length of the tube.
  • permanent magnets 94 may also be disposed inside tube 90 with their magnetization directed axially along the tube to thereby produce ring cusps (not shown) at each pole face.
  • magnets inside rf coil 16 allows the plasma to run at lower pressure, higher efficiency, and higher plasma density.
  • This coil design may also be applied to other inductive coil sputter systems not described herein, including internal or external coil systems.

Abstract

A sputter deposition system includes a hollow, cylindrical sputter target (14) disposed between an end sputter target (12) and a substrate (19), all of which are contained in a vacuum chamber (20). A plurality of magnets (24) are disposed outside the chamber (24) to create intense, plasma regions (48) near the interior surface of the cylindrical target (14) and thereby causing ionization of sputtered neutrals. Rf power is inductively coupled into the chamber (24) through rf coil (16) to sustain the plasma and substrate (19) is electrically biased to control ion directionality and energy.

Description

S91/00540
-1- . APPARATUS FOR DEPOSITING MATERIAL
INTO HIGH ASPECT RATIO HOLES
Technical Field
This invention relates to the deposition of materials onto a semiconductor substrate and more particularly, a high plasma density, low pressure, low temperature, sputtering tool for depositing materials uniformly into high aspect ratio holes or trenches.
Background Art
The ability to deposit materials into high aspect ratio (AR) holes (holes with a depth to width ratio greater than one) on a semiconductor substrate is becoming increasingly more important in the semiconductor industry for back-end-of-line applications such as the filling of inter-level vias.
Prior techniques used to deposit materials into high AR holes include: chemical vapor deposition
(CVD) ; electron cyclotron resonance chemical vapor deposition (ECR CVD) ; plasma enhanced chemical vapor deposition (PECVD) and rf sputtering.
CVD is undesirable for two reasons: (a) it is a relatively slow process; and (b) high temperature substrate curing is required following the deposition. High temperature curing is unfavorable for large scale integrated (LSI) components.
ECR CVD and PECVD have been introduced to lower the required curing temperatures. These techniques, however, are also undesirable because the films produced often contain hydrogen, thereby making them dimensionally unstable at elevated temperatures. Also, these techniques, like all CVD processes, are slow processes that restrain throughput.
Traditional rf sputtering does not fill high AR holes uniformly because there is no directionality to the de position. The sputtered atoms in the plasma generated are primarily neutral (plasma referred to as having a low ionization ratio) . The resultant random neutral atom impingment on the substrate causes sputtered material to build up along the walls of the holes faster than the bottom thereby producing a void in the filled hole (a condition referred to as angel wings) which makes contact to the filled hole unreliable. Also, the background gas (e.g. Argon) necessary to support the process: a) causes back scattering of the sputtered material which consequently reduces the transmission of this material to the substrate? and b) gets incorporated into the films. A further disadvantage to traditional rf sputtering is that it is difficult to obtain a plasma density high enough to sputter at energy efficient voltages for single substrate deposition.
The following three articles disclose some recent improvements to traditional rf sputtering: 1) Ono, Takahashi, Oda, and Matsuo, "Reactive Ion Stream Etching and Metallic Compound Deposition Using ECR Plasma Technology", Symposium on VLSI Technology. Digest of Technical Papers 1985 pp 84-85, Bus. Center Acad. Soc. Japan (ONO) ; 2) Yamashita, "Fundamental characteristics of built-in high-frequency coil-type sputtering apparatus", J.Vac.Sci.Technol. A7(2) , Mar/Apr 1989 pp 151-158 (YAMASHITA) ; and 3) Matsuoka and Ono, "Dense plasma production and film deposition by new high-rate sputtering using an electric mirror", J.Vac.Sci.Technol. A7 (4) , Jul/Aug 1989 pp 2652-2656 (MATSUOKA) .
ONO discloses an ECR plasma generator adapted for depositing metals on a substrate by placing a cylindrical sputtering target around the plasma stream at the plasma extraction window. Sputtered particles are activated for film formation reaction in the high plasma density region of magnetron mode discharge and in the plasma stream. Film formation reaction is also enhanced by the moderate energy ion bombardment irradiated by the plasma stream. This system provides higher deposition rates than prior sputtering techniques, but it doesn't provide directionality to the deposition (due to a low ionization ratio) and therefore fails to provide a solution to the aforementioned angel wing problem.
YAMASHITA discloses a sputtering system with a high-frequency discharge coil placed between the target and the substrate holder. This configuration can produce a plasma with a variable ionization ratio of the sputtered atoms. High ionization ratio deposition is not practical with this apparatus, however, because secondary electrons generated by the ions impinge on the substrate and thereby cause excessive substrate heating. Furthermore, high deposition rates can only be achieved with this device when the deposition is dominated by neutral atoms. Depositions dominated by neutral atoms have no directionality and therefore cause angel winging in high AR holes.
MATSUOKO discloses a sputtering system using an electric mirror consisting of a planar target, a cylindrical target, a magnetic coil, and a substrate plate. High plasma densities (of primarily neutral atoms) in close proximity to the cylindrical target are obtained by this configuration, but like the ONO configuration, there is no directionality to the deposition and angel winging occurs. An efficient, reliable system to deposit materials into high AR holes on semiconductor substrates which avoids the problems of the previously mentioned systems is highly desirable.
Disclosure of the Invention
An object of the present invention is to provide an improved sputtering system for high rate material deposition.
Another object is to provide for a deposition system which can uniformly fill high aspect ratio holes and trenches of semiconductor substrates.
Yet another object is to provide for a deposition system which functions at low pressures, low temperature and energy efficient voltages. According to the present invention, a rf sputtering apparatus includes a circular end sputter target and a biased substrate at opposite ends of a vacuum chamber. A cylindrical sputter target is positioned between the end target and substrate. Rf or DC bias is applied to the targets in order to produce sputtering. Permanent magnets are placed in close proximity to the sputter targets to cause magnetron discharges. A rf coil is disposed inside the chamber to inductively couple rf power into the chamber to maintain a high density plasma in order to ionize sputter neutrals and cause the plasma to be more evenly distributed throughout the chamber. The rf coil may be magnetized to prevent recombination of the plasma with the surface of the coil. The present invention produces good quality films at fast rates, low pressure and low temperature. It is compact and easily manufacturable and can be. used to produce sputter ion deposition. Also, the uniformity of deposition can be adjusted for different requirements, including filling high AR holes.
These and other objects, features, and advantages of the present invention will become more apparent in the light of the detailed description of exemplary embodiments thereof, as illustrated by the drawings.
Brief Description of the Drawings
FIG. 1 is a cross sectional, schematic drawing of a first embodiment of a sputter system according to the present invention.
FIG. 2 is a perspective, cross sectional, schematic drawing of a first embodiment of a sputter system according to the present invention. FIG. 3 is a cross sectional view taken along line 3-3 of FIG.l.
FIG. 4 is a cross sectional, schematic drawing of second embodiment of a sputter system according to the present invention. FIG. 5 is a cross sectional, schematic drawing of a third embodiment of a sputter system according to the present invention.
FIG. 6 is a cross sectional, schematic drawing of a fourth embodiment of a sputter system according to the present invention.
FIG. 7 is a side view of rf coil according to the present invention.
FIG. 8 is a cross sectional view, taken along line 8-8 of FIG. 7 , of a first embodiment of a rf coil according to the present invention.
FIG. 9 is a cross sectional view, taken along line 9-9 of FIG. 7, of a second embodiment of a rf coil according to the present invention. Best Mode For Carrying Out the Invention
Referring now to Fig. 1, a sputter system 10 includes a vacuum chamber 20, which contains a circular end sputter target 12, a hollow, cylindrical, thin, cathode magnetron target 14, a rf coil 16 and a chuck 18, which holds a semiconductor substrate 19. The atmosphere inside the vacuum chamber 20 is controlled through channel 22 by a pump
(not shown) . The vacuum chamber 20 is cylindrical and has a series of permanent, magnets 24 positioned around the chamber and in close proximity therewith to create a multipole field configuration near the interior surface 15 of target 12. Magnets 26, 28 are placed above end sputter target 12 to also create a multipole field in proximity to target 12. A singular magnet 26 is placed above the center of target 12 with a plurality of other magnets 28 disposed in a circular formation around magnet 26. For convenience, only two magnets 24 and 28 are -shown. The configuration of target 12 with magnets 26, 28 comprises a magnetron sputter source 29 known in the prior art, such as the Torus-lOE system manufactured by K. Lesker, Inc.. A sputter power supply 30 (DC or rf) is connected by a line 32 to the sputter target 12. A rf supply 34 provides power to rf coil 16 by a line 36 and through a matching network 37. ' Variable impedance 38 is connected in series with the cold end 17 of coil 16. A second sputter power supply 39 is connected by a line 40 to cylindrical sputter target 14. A bias power supply 42 (DC or rf) is connected by a line 44 to chuck 18 in order to provide electrical bias to substrate 19 placed thereon, in a manner well known in the prior art.
Power supplies 30, 39 cause atoms to be sputtered off of targets 12, 14, as is well known in the prior art. Sputter targets 12, 14 must therefore be made of the material to be deposited on the substrate, such as copper. Rf coil 16 must also either be made of, or coated with the deposition material in order to prevent contamination of the deposited film.
The magnets 24 create static B fields which extend into the vacuum chamber 20 and form "cusps"
(46 in FIG. 3) near the interior surface 15 of cylindrical target 14. The close proximity of cylindrical sputter target 14 to the multipole magnets 24 leads to regions of intense magnetron discharges between these cusps. An illustration of the magnetic cusps and their effect upon the cylindrical target sputtering is provided in more detail in FIG. 3. Magnets 26, 28 placed above target
12 create magnetron discharges at that target in a similar manner.
Material is sputtered off targets 12,14 when these targets are provided either DC bias or rf power from respective power supplies 30, 39. The regions
(48 in FIG. 3) near the interior surface of cylindrical target 14 adjacent to the magnets will sputter quickly, since plasma ions preferentially escape through the aforementioned magnetic cusps, leading to high plasma density and high sputter rates in the cusps. Cylindrical target 14 will also sputter quickly in the regions between the magnets because the magnetic field (which is parallel to the wall between the magnets) will give rise to a magnetron discharge. The high plasma densities associated with magnetron discharges will produce a high sputter rate from cylindrical target 14 between the magnetic cusp regions (46 in FIG. 3) and a large fraction of neutral sputtered atoms will be ionized in the high density rf plasma.
Power provided by rf power supply 34 is transmitted on line 36 through matching network 37 to rf coil 16, and thereby inductively coupled into the aforementioned plasma in order to sustain the plasma and cause a more even plasma distribution throughout the vacuum chamber 20. A variable impedance 38 may be placed in series with the rf coil 16 to control the rf voltage on the coil. The plasma created by the present invention will have a high ionization fraction of sputtered atoms due to: a) ionization by primary electrons; b) ion charge exchange with the sputtering gas ions (e.g. Argon ions) ; and c) Penning ionization σf the sputtered atoms by the sputtering gas. Penning ionization is particularly sensitive to the chamber pressure (part of which is attributable to sputter gas pressure) , with optimum pressure ranging from lOmTorr to 50mTorr. If the pressure is too high, the sputtered atoms diffuse back into the target, thereby lowering the effective deposition rate. Also, the plasma density will be lowered which will reduce the amount of power coupled to the plasma. If the pressure is too low, Penning ionization will stop altogether.
The DC or rf bias provided by voltage source 42 to the substrate 19 will determine the directionality of the deposition because the bias affects: a) the trajectories of the ionized sputtered atoms; and b) the amount of resputtering. Consequently, the trajectories of the ions can be adjusted such that high AR holes in the substrate may be uniformly filled without angel winging effects. In addition, heavier gases (gases with an atomic mass greater than the atomic mass of the sputtered material) , such as Xenon, may be added to the vacuum chamber to further affect the deposition profile by reducing angel winging effects. Also, the uniformity of deposition can be adjusted by changing the voltage or power split between the targets 12, 14 because cylindrical target 14 produces the highest deposition rate on the outside part of substrate 19 and the target 12 produces the highest deposition rate on the inside part of substrate 19. Another advantage to the present invention is that the magnetic fields created by magnets 26, 28 confine free electrons produced by the end sputter target 12, thereby lowering secondary electron heat loading of the substrate 19. Referring now to FIG. 2, sputter targets 12,14 are provided power by respective sources 30,38,
(which may be DC or rf) to cause material to be sputtered into vacuum chamber 20. Magnets 24 cause regions 48 of intense plasma in close proximity to the interior surface 15 of target 14. Rf power provided by source 34 is inductively coupled into the plasma through coil 16. Bias applied by voltage source 42 through line 44 to chuck 18 will attract ionized atoms onto substrate 19 and facilitate directional deposition.
Referring now to FIG. 3, magnets 24 create a static multipole B field, represented by flux lines
46. This field acts in conjunction with secondary electrons from targets 12,14 to create intense, magnetron discharge, plasma regions as represented by the shaded areas 48.
Referring now to FIG. 4, a second embodiment of the present invention includes a rf coil 16 which is used as the sole sputter source of a deposition apparatus by providing DC bias to the coil using a DC source 50 coupled through a rf decoupling network
52, comprising a capacitor 53 and inductors 54, 55.
Rf coil 16, of course, must either be manufactured from or coated with the desired sputter material. Rf power is supplied to the coil through line 58 using rf power supply 60 and matching network 57. A variable shunt impedance 56 may be used to control the rf .voltage on coil 16. In the absence of this shunt, the cold end 17 of coil 16 must be capacitively connected to ground (i.e. by replacing shunt 56 with a large capacitor) . A plurality of magnets 62, (only two of which are shown) , are placed around the vacuum chamber 20. The resultant magnetic fields 62 create surface magnetic confinement of plasma electrons, thus producing an intense plasma and thereby causing a high ionization ratio of the neutral sputtered atoms. These ions are directionally accelerated toward the biased substrate 19, which is controlled by DC or rf bias supply 42 through line 44.
Referring now to FIG. 5, a third embodiment of the present invention includes a circular end sputter target 66 and a cylindrical, hollow, cathode magnetron sputter target 68 contained within vacuum chamber 70. Power sources 30,38 provide electrical energy necessary to cause sputtering of respective targets 66,68 as previously described. Electromagnets 74 create magnetron discharges adjacent to target 68 and thereby produce a high fraction of sputter material ions which are accelerated towards the biased substrate 19. Voltage source 42 biases substrate 19 through line 44. A microwave power source (not shown) provides microwave energy through wave guide 72 and is a substitute power source for the rf coil 16 used in previously described embodiments. The resultant plasma can be maintained by: a) microwave power (as in an "ECR" type plasma) ; b) rf or DC voltage applied to the targets; c) rf induction; and d) Whistler waves, all of which may be used singularly or in combinations thereof. The uniformity of deposition can be adjusted by changing the voltage or power between the end target 66 and cylindrical magnetron target 68 because the cylindrical target 68 produces the highest deposition rate on the outside part of substrate 19 and the end target 66 produces the highest deposition rate on the inside part of substrate 19.
Electromagnets 74 are used in the third embodiment because it is necessary to have a strong B field (about .1 Tesla) over the majority of the chamber volume. The other embodiments of the present invention described herein require only strong localized B fields in close proximity to the sputter targets. Permanent magnets are therefore acceptable as the B field source although electromagnets could very well be used in there place.
Referring now to FIG. 6, a fourth embodiment of the present invention includes a rf coil 16, rf supply 34, matching network 37, variable series impedance 38, chuck 18, substrate 19, and bias supply
42 used in conjunction with a series of ring-type sputter targets 76 and magnets 78. The sputter targets 76, 77 are biased by signal sources 80, 30 through lines 82,32 respectively. Ring magnets 78 are radially magnetized. The combination of ring targets 76 and ring magnets 78 produce a multipole, magnetron discharge effect. Intense magnetron discharges (represented by shaded patterns 86) will occur in the spaces between the multipole magnetic cusps (represented by flux lines 88) . Since the rf coil 16 is actually located in the vacuum vessel, auxiliary magnets 26, 28 may be placed on the top and bottom of the chamber 20 to increase the plasma confinement and to create additional regions of magnetron discharge. The sputtered material will be deposited on substrate 19 , which is biased by supply
42. The bias on the substrate will determine the directionality of the deposition because it affects the trajectories of the ionized sputtered atoms. The ions produced in vacuum chamber 20 of all of the aforementioned embodiments of the present invention, as illustrated in FIGS. 1-6, which strike the substrate 19 will have low angular divergence after crossing the plasma sheath and therefore will fill high AR holes uniformly.
Furthermore, the temperature of the chuck 18 and substrate 19 can be controlled by any of the standard methods well known in the prior art, including electrostatic clamping with backside helium gas conduction.
Also, it is to be noted that the aforementioned embodiments of the present invention provide for high plasma densities (greater than 10 11 cm -3) to be distributed throughout a major portion of the vacuum chamber.
Furthermore, low pressures (e.g. .02 to lOmTorr) of CVD gas may also be used to increase system pressure and deposition rates. In this "CVD/sputter" mode, the volatiles (e.g. hydrogen) may be pumped away while the desired components are maintained in the plasma (by sputtering from the targets 66,68) until they are deposited on the substrate 19. The particular amount of resputtering and the energy per ion necessary to obtain desired film properties may be changed by varying the rf bias to the substrate 19. Also, the high plasma density generated makes it possible to turn off the flow of sputtering gas (e.g.
Argon) and sustain the plasma by impact of ionized sputtered atoms onto the sputter target (self-sputtering) . In the case of metal sputter targets, this facilitates the generation of pure metal plasmas, which enables high deposition rates and allows the present invention to be used as a single substrate tool. The use of pure metal plasma also favorably affects the physical properties (i.e. absence of impurities) of the sputter grown films.
Referring now to FIG. .7, rf coil 16 includes a hollow tube 90 having permanent magnets (92 or 94) disposed inside. Placing magnets (92 or 94) inside the tube accomplishes three things: 1) it reduces the plasma loss area (due to recombination of the sputtered atoms with the coil) to the magnetic pole area; 2) it produces crossed dc and rf magnetic fields which can drive the plasma through Whistler mode plasma waves; and 3) it reduces the capacitive coupling losses of the coil.
Referring now to FIG. 8, permanent magnets 92 may be disposed inside tube 90 with their magnetization directed radially outward from the center of the tube to thereby produce line cusps (not shown) along the length of the tube.
Referring now to FIG. 9, permanent magnets 94 may also be disposed inside tube 90 with their magnetization directed axially along the tube to thereby produce ring cusps (not shown) at each pole face.
Use of magnets inside rf coil 16 allows the plasma to run at lower pressure, higher efficiency, and higher plasma density. This coil design may also be applied to other inductive coil sputter systems not described herein, including internal or external coil systems.
Although the invention has been shown and described with exemplary embodiments thereof, it should be understood by those skilled in the art that the foregoing and various other changes, omissions and additions may be made therein and thereto without departing from the spirit and scope of the invention.

Claims

1. An apparatus for depositing a material onto a substrate in a vacuum chamber comprising: sputtering means for providing a sputtering source of the material; magnetic means for providing a multipole magnetic field in close proximity to said sputtering means; energy transmission means for transmitting energy into the vacuum chamber for producing a plasma of sufficient density and volume in the vacuum chamber to cause ionization of sputtered atoms; and substrate placement means for placing the substrate in contact with said plasma.
2. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1 , further comprising biasing means for electrically biasing the substrate with DC or rf energy for controlling the energy and direction of ions impinging on the substrate.
3. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said sputtering means comprises: a first sputter target; a second sputter target disposed between said first sputter target and the substrate; and first and second sputter power source means for providing electrical energy to said first and second sputter targets respectively to cause sputtering thereof.
4. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim
3, further comprising means for varying the power between said first sputter target and said second sputter target in order to adjust the uniformity of deposition over the surface of the substrate.
5. An apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1 , wherein said sputter means comprises: a first sputter target; a second cylindrical, hollow sputter target disposed between said first sputter target and the substrate; and first and second sputter power source means for providing electrical energy to said first and second sputter targets, respectively, to cause sputtering thereof.
6. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 5, further comprising means for varying the power between said first sputter target and said second sputter target in order to adjust the uniformity of deposition over the surface of the substrate.
7. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, further comprising: gas means for providing gas in the vacuum chamber, said gas having an atomic mass greater than the atomic mass of the material.
8. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said energy transmission means comprises rf transmission means for inductively coupling rf power into said plasma.
9. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said energy transmission means comprises: rf power supply means for providing rf power; and rf coil means for transmitting said rf power into said plasma.
10. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said energy transmission means comprises: rf power supply means for providing rf power; and magnetized rf coil means for transmitting said rf power into said plasma whereby said magnetized rf coil means confines plasma away from at least part of said coil means.
11. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said energy transmission means comprises: rf power supply means for providing rf power; a hollow rf coil for transmitting said rf power into said plasma; and at least one magnet disposed within said hollow coil for confining plasma away from at least part of said hollow rf coil.
12. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein said energy transmission means and said sputtering means comprises: rf coil means; sputter power source means for providing electrical energy to said rf coil means to cause sputtering thereof; rf power source means for providing rf power to said rf coil means to cause said rf coil means to transmit rf power into the vacuum chamber; and decoupling means for decoupling said sputter power source means from said rf power source means.
13. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 1, wherein: said magnet means comprises a plurality of ring shaped magnets; and said sputter means comprises a plurality of ring shaped targets disposed between said ring shaped magnets and a sputter power supply for providing power to said sputter targets to cause sputtering thereof.
14. An apparatus for depositing a material onto a substrate in a vacuum chamber comprising: a first sputter target; first sputter power source means for providing electrical energy to said first sputter target for causing sputtering thereof; first magnet means for providing a magnetic field in close proximity to the sputtering surface of said first sputter target; a hollow, cylindrical, second sputter target disposed between said first sputter target and the substrate; second sputter power source means for providing electrical energy to said second sputter target for causing sputtering thereof; second magnet means for providing a magnetic field in close proximity to the sputtering surface of said second sputter target; rf coil means for transmitting rf energy into the vacuum chamber for producing a plasma of sufficient density and volume for causing ionization of sputtered atoms therein; rf power source means for providing rf energy to said rf coil means; bias means for providing electrical bias to the substrate for controlling the direction and energy of ions impinging thereon; and substrate placement means for placing the substrate in contact with said plasma.
15. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 14, wherein said rf coil means comprises: a hollow tube; and a plurality of magnets disposed within said hollow tube for confining plasma away from said rf coil means.
16. The apparatus for depositing a material onto a substrate in a vacuum chamber as defined in claim 14, wherein said rf power is inductively coupled into the vacuum chamber.
17. An apparatus for depositing a material onto a substrate in a vacuum chamber comprising: an rf coil disposed in the chamber and composed at least partly of the material; sputter power source means for providing electrical energy to said rf coil to cause sputtering -20- of the material therefrom; magnet means disposed in close proximity to said rf coil for providing a multipole magnetic field in close proximity to said rf coil; rf power source means for providing rf power to said rf coil to cause said rf coil to transmit rf energy into the vacuum chamber for producing a plasma of sufficient density and volume to cause ionization of sputtered atoms; decoupling means to decouple said sputter power source means from said rf power source means; bias means for providing electrical bias to the substrate for controlling the direction and energy of ions impinging thereon; and substrate placement means for placing the substrate in contact with said plasma.
18. An apparatus for depositing a material onto a substrate in a vacuum chamber comprising: a first sputter target; first sputter power source means for providing electrical energy to said first sputter target for causing sputtering thereof; a hollow, cylindrical second sputter target disposed between said first sputter target and the substrate; second sputter power source means for providing electrical energy to said second sputter target for causing sputtering thereof; magnet means for providing a magnetic field throughout the vacuum chamber; microwave power source means for providing microwave energy to the vacuum chamber for producing a plasma of sufficient density and volume to cause ionization of sputtered atoms; 0
-21- bias means for providing electrical bias to the substrate for controlling the direction and energy of ions impinging thereon; and substrate placement means for placing the substrate in contact with said plasma.
19. An apparatus for depositing a material onto a substrate in a vacuum chamber comprising: a first sputter target; first sputter power source means for providing electrical energy to said first sputter target for causing sputtering thereof; . first magnet means for providing a magnetic field in close proximity to the sputtering surface of said first sputter target; a plurality of ring sputter targets disposed between said first sputter target and the substrate; second sputter power source means for providing electrical energy to said ring sputter targets for causing sputtering thereof; a plurality of ring magnet means disposed adjacent to said ring sputter targets for providing a magnetic field in close proximity to the sputtering surface of said ring sputter targets; rf coil means for transmitting rf energy into the vacuum chamber for producing a plasma of sufficient density and volume to cause ionization of sputtered atoms; rf power source means for providing rf energy to said rf coil means; bias means for providing electrical bias to the substrate for controlling the direction and energy of ions impinging thereon; and substrate placement means for placing the substrate in contact with said plasma. -22-
20. An apparatus for producing a plasma in a vacuum chamber comprising: rf power source means for providing rf energy; hollow rf coil means for transmitting said rf energy into the vacuum chamber; and a plurality of magnets disposed within said rf coil means for confining plasma away from said rf coil means.
21. The apparatus for producing a plasma in a vacuum chamber as defined in claim 20 , wherein said magnets are positioned with their magnetization directed radially outward from the center of said tube.
22. The apparatus for a vacuum chamber as defined in claim 20, wherein said magnets are positioned with their magnetization directed axially along the length of said tube.
23. A method of depositing a material upon a substrate in a vacuum chamber comprising the steps of: sputtering the material off of at least one target with magnetron discharge; transmitting energy into the vacuum chamber to cause ionization of said sputtered material; and placing the substrate in contact with said plasma.
24. The method of depositing a material upon a substrate in a vacuum chamber as defined in claim 23, further comprising the step of: biasing the substrate to control the energy and directionality of ions impinging on the substrate.
25. The method of depositing a material upon a substrate in a vacuum chamber as defined in claim 23, wherein said energy is microwave energy.
26. The method of depositing a material upon a substrate in a vacuum chamber as defined in claim 23, further comprising the step of adding CVD gas into the vacuum chamber.
27. A method of depositing a material upon a substrate in a vacuum chamber comprising the steps of: sputtering the material off of at least one target with magnetron discharge; inductively coupling rf power into the vacuum chamber using a rf coil to cause ionization of said sputtered material; placing the substrate in contact with said plasma; and biasing the substrate to control the energy and directionality of ions impinging on the substrate.
28. The method of depositing a material upon a substrate in a vacuum chamber as defined in claim 27, further comprising the step of placing magnets inside said rf coil to confine plasma away from at least part of said rf coil.
PCT/US1991/000540 1990-10-31 1991-01-25 Apparatus for depositing material into high aspect ratio holes WO1992007969A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3504135A JPH0816266B2 (en) 1990-10-31 1991-01-25 Device for depositing material in high aspect ratio holes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60743190A 1990-10-31 1990-10-31
US607,431 1990-10-31

Publications (1)

Publication Number Publication Date
WO1992007969A1 true WO1992007969A1 (en) 1992-05-14

Family

ID=24432243

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1991/000540 WO1992007969A1 (en) 1990-10-31 1991-01-25 Apparatus for depositing material into high aspect ratio holes

Country Status (2)

Country Link
JP (1) JPH0816266B2 (en)
WO (1) WO1992007969A1 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0593924A1 (en) * 1992-10-17 1994-04-27 Leybold Aktiengesellschaft Device for producing plasma using cathodic sputtering
JPH0794413A (en) * 1993-09-22 1995-04-07 Anelva Corp Method of wiring integrated circuit, method of wiring integrated circuit for filling hole or groove, and multi-chamber substrate treating device
EP0807954A1 (en) * 1996-05-09 1997-11-19 Applied Materials, Inc. Coils for generating a plasma and for sputtering
EP0837490A2 (en) * 1996-10-17 1998-04-22 Applied Materials, Inc. A method to eliminate coil sputtering in an inductively coupled plasma (ICP) source
WO1999008308A1 (en) * 1997-08-07 1999-02-18 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
WO1999016925A1 (en) * 1997-09-29 1999-04-08 Lam Research Corporation Improved methods and apparatus for physical vapor deposition
US5968327A (en) * 1997-04-14 1999-10-19 Anelva Corporation Ionizing sputter device using a coil shield
WO1999054909A1 (en) * 1998-04-22 1999-10-28 Applied Materials, Inc. Smaller diameter coil to enhance uniformity of metal film formed by inductively coupled plasma deposition
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
WO2000049196A1 (en) * 1999-02-19 2000-08-24 Tokyo Electron Limited Plasma deposition method and apparatus with magnetic bucket and concentric plasma and material source
US6217721B1 (en) 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US6228229B1 (en) * 1995-11-15 2001-05-08 Applied Materials, Inc. Method and apparatus for generating a plasma
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
WO2001067484A1 (en) * 2000-03-08 2001-09-13 University Of Ulster Magnetron sputter ion plating system
US6444099B1 (en) 1997-05-28 2002-09-03 Anelva Corporation Ionizing sputtering method
US6444104B2 (en) 2000-01-21 2002-09-03 Applied Materials, Inc. Sputtering target having an annular vault
KR100489918B1 (en) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 Coils for generating a plasma and for sputtering
KR100501460B1 (en) * 1996-07-12 2005-09-26 어플라이드 머티어리얼스, 인코포레이티드 Method of filling holes in a semiconductor structure using an adhesion layer deposited from ionized metal

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3949205B2 (en) * 1997-01-20 2007-07-25 株式会社アルバック Metal wiring sputtering equipment with magnetron cathode
US6579426B1 (en) * 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
JPH11269643A (en) * 1998-03-20 1999-10-05 Toshiba Corp Deposition apparatus and deposition method using the same
JP4070871B2 (en) * 1998-05-08 2008-04-02 株式会社アルバック Copper thin film formation method
GB2342927B (en) * 1998-10-23 2003-05-07 Trikon Holdings Ltd Apparatus and methods for sputtering
JP3297415B2 (en) * 2000-02-09 2002-07-02 株式会社半導体先端テクノロジーズ Method for manufacturing semiconductor device
CA2432068C (en) 2000-12-27 2008-10-07 Japan Science And Technology Corporation Plasma generator
KR20040044995A (en) * 2001-09-27 2004-05-31 이 아이 듀폰 디 네모아 앤드 캄파니 Method and apparatus for sputter deposition of epilayers with high deposition rate
WO2024034435A1 (en) * 2022-08-09 2024-02-15 Jswアフティ株式会社 Film formation device and film formation method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59190363A (en) * 1983-04-11 1984-10-29 Orient Watch Co Ltd Formation of metallic thin film
EP0163446A1 (en) * 1984-05-17 1985-12-04 Varian Associates, Inc. Apparatus for and method of controlling magnetron sputter device having separate confining magnetic fields to separate targets subject to separate discharges
JPS63140509A (en) * 1986-12-02 1988-06-13 Tdk Corp Manufacture of magnetically soft film
EP0328033A2 (en) * 1988-02-08 1989-08-16 Nippon Telegraph and Telephone Corporation Thin film forming apparatus and ion source utilizing plasma sputtering
US4925542A (en) * 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
EP0413291A2 (en) * 1989-08-14 1991-02-20 Hauzer Holding B.V. Method and device for sputtering of films

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59179152A (en) * 1983-03-31 1984-10-11 Agency Of Ind Science & Technol Production of thin film
JPS6029956A (en) * 1983-07-28 1985-02-15 Kyocera Corp Production of photomagnetic recording medium
JPH0684539B2 (en) * 1984-12-25 1994-10-26 日電アネルバ株式会社 Thin film formation method by sputtering
JPS61183471A (en) * 1985-02-07 1986-08-16 Fujitsu Ltd Method and device for forming thin film
JPS63277755A (en) * 1987-05-07 1988-11-15 Matsushita Electric Ind Co Ltd Production of thin film
JP2552697B2 (en) * 1988-02-08 1996-11-13 日本電信電話株式会社 Ion source
JP2552700B2 (en) * 1988-02-29 1996-11-13 日本電信電話株式会社 Plasma generating apparatus and thin film forming apparatus using plasma
JPH01240648A (en) * 1988-03-16 1989-09-26 Nissin Electric Co Ltd Thin multicomponent-system film forming equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59190363A (en) * 1983-04-11 1984-10-29 Orient Watch Co Ltd Formation of metallic thin film
EP0163446A1 (en) * 1984-05-17 1985-12-04 Varian Associates, Inc. Apparatus for and method of controlling magnetron sputter device having separate confining magnetic fields to separate targets subject to separate discharges
JPS63140509A (en) * 1986-12-02 1988-06-13 Tdk Corp Manufacture of magnetically soft film
EP0328033A2 (en) * 1988-02-08 1989-08-16 Nippon Telegraph and Telephone Corporation Thin film forming apparatus and ion source utilizing plasma sputtering
US4925542A (en) * 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
EP0413291A2 (en) * 1989-08-14 1991-02-20 Hauzer Holding B.V. Method and device for sputtering of films

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A. vol. A7, no. 2, March 1989, NEW YORK US pages 151 - 158; M. YAMASHITA: 'FUNDAMENTAL CHARACTERISTICS OF BUILT IN HIGH FREQUENCY COIL-TYPE SPUTTERING APPARATUS ' cited in the application see page 151, column 2; figure 1 *
PATENT ABSTRACTS OF JAPAN vol. 12, no. 397 (E-672)(3244) October 21, 1988 see the whole document & JP,A,63 140 509 (TDK CORP) June 13, 1988 *
PATENT ABSTRACTS OF JAPAN vol. 9, no. 52 (C-269)(1775) March 6, 1985 see the whole document & JP,A,59 190 363 (ORIENT TOKEI) October 29, 1984 *

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417834A (en) * 1992-10-17 1995-05-23 Leybold Aktiengesellschaft Arrangement for generating a plasma by means of cathode sputtering
EP0593924A1 (en) * 1992-10-17 1994-04-27 Leybold Aktiengesellschaft Device for producing plasma using cathodic sputtering
JPH0794413A (en) * 1993-09-22 1995-04-07 Anelva Corp Method of wiring integrated circuit, method of wiring integrated circuit for filling hole or groove, and multi-chamber substrate treating device
KR100442023B1 (en) * 1995-08-07 2004-10-25 어플라이드 머티어리얼스, 인코포레이티드 Filling of narrow holes and formation of metal interconnects using a liner layer arranged in a crystalline phase
US6217721B1 (en) 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US6297595B1 (en) 1995-11-15 2001-10-02 Applied Materials, Inc. Method and apparatus for generating a plasma
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6228229B1 (en) * 1995-11-15 2001-05-08 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6783639B2 (en) 1996-05-09 2004-08-31 Applied Materials Coils for generating a plasma and for sputtering
KR100489918B1 (en) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 Coils for generating a plasma and for sputtering
EP0807954A1 (en) * 1996-05-09 1997-11-19 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100501460B1 (en) * 1996-07-12 2005-09-26 어플라이드 머티어리얼스, 인코포레이티드 Method of filling holes in a semiconductor structure using an adhesion layer deposited from ionized metal
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
EP0837490A3 (en) * 1996-10-17 1998-09-16 Applied Materials, Inc. A method to eliminate coil sputtering in an inductively coupled plasma (ICP) source
EP0837490A2 (en) * 1996-10-17 1998-04-22 Applied Materials, Inc. A method to eliminate coil sputtering in an inductively coupled plasma (ICP) source
US5968327A (en) * 1997-04-14 1999-10-19 Anelva Corporation Ionizing sputter device using a coil shield
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6444099B1 (en) 1997-05-28 2002-09-03 Anelva Corporation Ionizing sputtering method
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
WO1999008308A1 (en) * 1997-08-07 1999-02-18 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
WO1999016925A1 (en) * 1997-09-29 1999-04-08 Lam Research Corporation Improved methods and apparatus for physical vapor deposition
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
WO1999054909A1 (en) * 1998-04-22 1999-10-28 Applied Materials, Inc. Smaller diameter coil to enhance uniformity of metal film formed by inductively coupled plasma deposition
WO2000049196A1 (en) * 1999-02-19 2000-08-24 Tokyo Electron Limited Plasma deposition method and apparatus with magnetic bucket and concentric plasma and material source
US6444104B2 (en) 2000-01-21 2002-09-03 Applied Materials, Inc. Sputtering target having an annular vault
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6787006B2 (en) 2000-01-21 2004-09-07 Applied Materials, Inc. Operating a magnetron sputter reactor in two modes
WO2001067484A1 (en) * 2000-03-08 2001-09-13 University Of Ulster Magnetron sputter ion plating system

Also Published As

Publication number Publication date
JPH05507963A (en) 1993-11-11
JPH0816266B2 (en) 1996-02-21

Similar Documents

Publication Publication Date Title
US5178739A (en) Apparatus for depositing material into high aspect ratio holes
WO1992007969A1 (en) Apparatus for depositing material into high aspect ratio holes
US5122251A (en) High density plasma deposition and etching apparatus
US4990229A (en) High density plasma deposition and etching apparatus
US5431799A (en) Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5091049A (en) High density plasma deposition and etching apparatus
US6238528B1 (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
EP0328076B1 (en) Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
US5830330A (en) Method and apparatus for low pressure sputtering
JP5698652B2 (en) Coaxial microwave assisted deposition and etching system
US5686796A (en) Ion implantation helicon plasma source with magnetic dipoles
US6238537B1 (en) Ion assisted deposition source
EP0103461A2 (en) Plasma deposition method and apparatus
US20060196766A1 (en) Plasma deposition apparatus and method
US8911602B2 (en) Dual hexagonal shaped plasma source
US6851939B2 (en) System for chemical vapor deposition at ambient temperature using electron cyclotron resonance and method for depositing metal composite film using the same
JP2973058B2 (en) High vacuum / high speed ion processing equipment
Wartski et al. Radio frequency, microwave, and electron cyclotron resonance ion sources for industrial applications: A review
JPH0687440B2 (en) Microwave plasma generation method
EP0778608A2 (en) Plasma generators and methods of generating plasmas
JPH0652719B2 (en) Thin film forming equipment
Doughty et al. Highly-ionized metal plasma source for integrated circuit metallization
JPH02257625A (en) Surface treatment apparatus
JPH03253561A (en) Sputtering type particle supplying source and processing device using this source

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IT LU NL SE

WWE Wipo information: entry into national phase

Ref document number: 1991903965

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1991903965

Country of ref document: EP

122 Ep: pct application non-entry in european phase