KR20100056393A - 기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체 - Google Patents

기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체 Download PDF

Info

Publication number
KR20100056393A
KR20100056393A KR1020090111180A KR20090111180A KR20100056393A KR 20100056393 A KR20100056393 A KR 20100056393A KR 1020090111180 A KR1020090111180 A KR 1020090111180A KR 20090111180 A KR20090111180 A KR 20090111180A KR 20100056393 A KR20100056393 A KR 20100056393A
Authority
KR
South Korea
Prior art keywords
substrate
susceptor
position detection
region
separation
Prior art date
Application number
KR1020090111180A
Other languages
English (en)
Inventor
가쯔요시 아이까와
마나부 혼마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100056393A publication Critical patent/KR20100056393A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Non-Portable Lighting Devices Or Systems Thereof (AREA)

Abstract

개시되는 기판 위치 검출 장치는 위치 검출 대상인 기판(W)을 촬상하는 촬상부와, 촬상부와 기판 사이에 배치되어, 기판에 대한 촬상부의 시야를 확보하는 제1 개구부를 갖는 광산란성의 패널 부재와, 패널 부재에 광을 조사하는 제1 조명부와, 촬상부에 의해 촬상된 기판의 화상으로부터 기판의 위치를 구하는 처리부를 구비한다.
Figure P1020090111180
기판 위치 검출 장치, 성막 장치, 컴퓨터 판독 가능 기억 매체, 기판, 패널 부재

Description

기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체 {SUBSTRATE POSITION DETECTION APPARATUS, SUBSTRATE POSITION DETECTION METHOD, FILM FORMING APPARATUS, FILM FORMING METHOD, AND COMPUTER READABLE STORAGE MEDIUM}
본 출원은 2008년 11월 19일 및 2009년 5월 29일에 각각 일본 특허청에 출원된 일본 특허 출원 제2008-295641호 및 2009-130532호에 기초하는 우선권을 주장하는 것으로, 그 전체 내용을 여기에 원용한다.
본 발명은 반도체 소자의 제조 장치 등에 수용되는 기판의 위치를 검출하는 기판 위치 검출 장치, 기판 위치 검출 방법, 기판 위치 검출 장치를 구비하는 성막 장치, 이 성막 장치를 사용하는 성막 방법, 상기한 기판 위치 검출 장치에 기판 위치 검출 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체 및 상기한 성막 장치에 성막 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체에 관한 것이다.
반도체 소자의 제조 공정에 있어서는, 성막 장치, 에칭 장치 및 검사 장치를 비롯한 다양한 제조 장치 내로 기판이 반송되어, 각각의 장치에 따른 처리가 기판 에 대해 행해진다. 기판은 포크나 엔드 이펙터를 갖는 반송 아암에 의해 각 장치 내로 반입되지만, 장치 내에 있어서는, 소정의 위치에 정확하게 배치되어야만 한다. 예를 들어, 성막 장치 내에서 소정의 위치로부터 어긋나 버리면, 기판을 균일하게 가열할 수 없어, 막질 및 막두께의 균일성이 악화된다고 하는 문제가 발생한다. 또한, 소정의 위치로부터 어긋나 있으면, 처리 후에, 포크나 엔드 이펙터에 의해 기판을 취출할 수 없는 등의 문제도 발생할 수 있다.
또한, 막두께의 제어성 및 균일성이 우수하므로 주목을 모으고 있는 분자층(원자층) 성막 장치 중에는 원료 가스의 교대 공급 대신에, 기판을 고속으로 회전시킴으로써 원료 가스를 기판에 대해 교대로 부착시키는 것이 있지만, 이와 같은 장치에 있어서 기판이 소정의 위치에 없는 경우, 회전에 의해 기판이 날리는 등의 문제가 발생한다.
기판을 소정의 위치에 정확하게 배치하여 상기와 같은 문제를 해결하기 위해, 장치 내에 복수의 레이저 센서 또는 광전 센서를 배치하여 측정치의 변화에 의해 위치 어긋남을 검출하는 방법이나(특허 문헌 1 참조), 접촉식 센서를 이용하여 위치 어긋남을 검출하는 방법이 있다(특허 문헌 2 참조).
그러나, 1매의 기판에 대해 복수의 레이저 센서를 사용할 필요가 있으므로, 복수의 기판을 수용하는 장치에 있어서는 상당수의 레이저 센서가 필요해져, 장치의 비용이 상승해 버린다. 또한, 기판과 서셉터의 상대 위치를 파악하기 위해, 서셉터의 위치를 검출하기 위한 레이저 센서도 필요해져, 가일층 비용 상승을 초래한다. 또한, 복수의 레이저 센서를 사용하는 경우에는, 광학계가 복잡해진다고 하는 문제도 발생한다. 한편, 접촉식 센서는, 기판을 가열하는 경우에는 사용할 수 없다.
이들에 대해, 기판 위치의 다른 검출 방법으로서, CCD 카메라 등을 사용하여 기판을 촬상하고, 얻어진 화상에 기초하여 기판의 위치를 검출하는 방법이 있다(특허 문헌 3 참조). 이 방법에 따르면, 1대의 CCD 카메라로 기판도, 서셉터도 촬영할 수 있으므로, 비용을 상승시키지 않아도 되고, 광학계를 단순화할 수 있고, 또한 원격 검출이 가능하므로 기판의 가열의 유무에 관계없이 사용할 수 있다.
[특허 문헌 1] 일본 특허 출원 공개 제2001-007009호 공보
[특허 문헌 2] 일본 특허 출원 공개 제2007-142086호 공보
[특허 문헌 3] 일본 특허 출원 공개 제2001-117064호 공보
그러나, 본 발명의 발명자들이 검토한 결과, 카메라에 의해 기판을 촬영할 때, 광의 조사에 의해 검출 오차가 발생하여, 기판 위치를 정확하게 검출할 수 없는 경우가 있는 것을 알 수 있었다.
본 발명은 이와 같은 검토 결과로부터 이루어져, 기판의 촬상에 기초하는 기판 위치 검출에 있어서 검출 오차를 저감시키는 것이 가능한 기판 위치 검출 장치, 기판 위치 검출 방법, 기판 위치 검출 장치를 구비하는 성막 장치, 이 성막 장치를 사용하는 성막 방법, 상기한 기판 위치 검출 장치에 기판 위치 검출 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체 및 상기한 성막 장치에 성막 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체를 제공한다.
본 발명의 제1 형태는, 위치 검출 대상인 기판을 촬상하는 촬상부와, 촬상부와 기판 사이에 배치되어, 기판에 대한 촬상부의 시야를 확보하는 제1 개구부를 갖는 광산란성의 패널 부재와, 패널 부재에 광을 조사하는 제1 조명부와, 상기 촬상부에 의해 상기 제1 개구부를 통해 촬상된 화상으로부터 상기 기판의 위치를 구하는 처리부를 구비하는 기판 위치 검출 장치를 제공한다.
본 발명의 제2 형태는, 위치 검출 대상인 기판을 서셉터의 적재부에 적재하는 공정과, 개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과, 상기한 개구부를 통해, 광이 조사되는 패널 부재에 의해 조사되는, 기판 및 적재부를 포함하는 영역을 촬상하는 공정과, 영역의 화상에 기초하여 적재부의 위치를 추정하는 공정과, 영역의 화상에 기초하여 기판의 위치를 추정하는 공정과, 적재부의 위치와 기판의 위치로부터, 기판이 소정의 위치에 있는지 여부를 판정하는 공정을 포함하는 기판 위치 검출 방법을 제공한다.
본 발명의 제3 형태는, 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판에 공급하는 사이클을 실행하여 반응 생성물의 층을 당해 기판 상에 생성함으로써 막을 퇴적하는 성막 장치를 제공한다. 이 성막 장치는 용기에 회전 가능하게 설치된 서셉터와, 서셉터의 하나의 면에 설치되어, 기판이 적재되는 적재부와, 적재부에 적재되는 기판의 위치를 검출하는, 제1 내지 제14 중 어느 하나의 형태의 기판 위치 검출 장치와, 하나의 면에 제1 반응 가스를 공급하도록 구성된 제1 반응 가스 공급부와, 서셉터의 회전 방향을 따라서 제1 반응 가스 공급부로부터 이격된, 하나의 면에 제2 반응 가스를 공급하도록 구성된 제2 반응 가스 공급부와, 회전 방향을 따라서, 제1 반응 가스가 공급되는 제1 처리 영역과 제2 반응 가스가 공급되는 제2 처리 영역 사이에 위치하여, 제1 처리 영역과 제2 처리 영역을 분리하는 분리 영역과, 제1 처리 영역과 제2 처리 영역을 분리하기 위해, 용기의 대략 중앙에 위치하여, 하나의 면을 따라서 제1 분리 가스를 토출하는 토출 구멍을 갖는 중앙 영역과, 용기를 배기하기 위해 용기에 형성된 배기구를 구비한다. 분리 영역은 제2 분리 가스를 공급하는 분리 가스 공급부와, 제2 분리 가스가 회전 방향에 대해 분리 영역으로부터 처리 영역측으로 흐를 수 있는 협애한 공간을, 서 셉터의 하나의 면에 대해 형성하는 천장면을 포함하고 있다.
본 발명의 제4 형태는, 제3 형태의 성막 장치를 사용하여 기판 상에 막을 퇴적하는 성막 방법을 제공한다. 이 성막 방법은 용기에 회전 가능하게 설치된 서셉터의 하나의 면에 설치되어, 기판이 적재되는 적재부에 기판을 적재하는 공정과, 개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과, 개구부를 통해 광이 조사되는 패널 부재에 의해 조사되는, 기판 및 적재부를 포함하는 영역을 촬상하는 공정과, 영역의 화상에 기초하여 적재부의 위치를 추정하는 공정과, 영역의 화상에 기초하여 기판의 위치를 추정하는 공정과, 적재부의 위치와 기판의 위치로부터, 기판이 소정의 위치에 있는지 여부를 판정하는 공정과, 기판이 소정의 위치에 있다고 판정된 경우에, 기판이 적재된 서셉터를 회전시키는 공정과, 제1 반응 가스 공급부로부터 서셉터의 하나의 면으로 제1 반응 가스를 공급하는 공정과, 서셉터의 회전 방향을 따라서 제1 반응 가스 공급부로부터 이격된 제2 반응 가스 공급부로부터 서셉터의 하나의 면으로 제2 반응 가스를 공급하는 공정과, 제1 반응 가스 공급부로부터 제1 반응 가스가 공급되는 제1 처리 영역과 제2 반응 가스 공급부로부터 제1 반응 가스가 공급되는 제2 처리 영역 사이에 위치하는 분리 영역에 설치된 분리 가스 공급부로부터, 제1 분리 가스를 공급하여, 분리 영역의 천장면과 서셉터 사이에 형성되는 협애한 공간에 있어서 회전 방향에 대해 분리 영역으로부터 처리 영역측으로 제1 분리 가스를 흘리는 공정과, 용기의 중앙부에 위치하는 중앙부 영역에 형성되는 토출 구멍으로부터 하나의 면을 따라서 제2 분리 가스를 공급하는 공정과, 용기를 배기하는 공정을 구비한다.
본 발명의 제5 형태는, 위치 검출 대상인 기판을 서셉터의 적재부에 적재하는 공정과, 개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과, 상기 개구부를 통해 상기 광이 조사되는 상기 패널 부재에 의해 조사되는, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과, 상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과, 상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과, 상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정을 포함하는 기판 검출 방법을 제1 형태의 기판 위치 검출 장치에 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체를 제공한다.
본 발명의 제6 형태는, 상기 용기에 회전 가능하게 설치된 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부에 상기 기판을 적재하는 공정과, 개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과, 상기 개구부를 통해 상기 광이 조사되는 상기 패널 부재에 의해 조사되고, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과, 상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과, 상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과, 상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정과, 상기 기판이 소정의 위치에 있다고 판정된 경우에, 상기 기판이 적재된 상기 서셉터를 회전시키는 공정과, 제1 반응 가스 공급부로부터 상기 서셉터의 상기 하나의 면으로 제1 반응 가스를 공급하는 공정과, 상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 이격된 제2 반 응 가스 공급부로부터 상기 서셉터의 상기 하나의 면에 제2 반응 가스를 공급하는 공정과, 상기 제1 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제2 처리 영역 사이에 위치하는 분리 영역에 설치된 분리 가스 공급부로부터, 제1 분리 가스를 공급하여, 상기 분리 영역의 천장면과 상기 서셉터 사이에 형성되는 협애한 공간에 있어서 상기 회전 방향에 대해 상기 분리 영역으로부터 상기 처리 영역측으로 상기 제1 분리 가스를 흘리는 공정과, 상기 용기의 중앙부에 위치하는 중앙부 영역에 형성되는 토출 구멍으로부터 상기 하나의 면을 따라서 제2 분리 가스를 공급하는 공정과, 상기 용기를 배기하는 공정을 구비하는 성막 방법을 제3 형태의 성막 장치에 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체.
본 발명에 따르면, 기판의 촬상에 기초하는 기판 위치 검출에 있어서 검출 오차를 저감시키는 것이 가능한 기판 위치 검출 장치, 기판 위치 검출 방법, 기판 위치 검출 장치를 구비하는 성막 장치, 이 성막 장치를 사용하는 성막 방법, 상기한 기판 위치 검출 장치에 기판 위치 검출 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체 및 상기한 성막 장치에 성막 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체를 제공할 수 있다.
본 발명의 실시 형태에 따르면, 기판의 촬상에 기초하는 기판 위치 검출에 있어서 검출 오차를 저감시키는 것이 가능한 기판 위치 검출 장치, 기판 위치 검출 방법, 기판 위치 검출 장치를 구비하는 성막 장치, 이 성막 장치를 사용하는 성막 방법, 상기한 기판 위치 검출 장치에 기판 위치 검출 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체 및 상기한 성막 장치에 성막 방법을 실시시키는 프로그램을 기억하는 컴퓨터 판독 가능 기억 매체가 제공된다.
이하, 첨부한 도면을 참조하면서, 본 발명의 한정적이 아닌 예시의 실시 형태에 대해 설명한다. 첨부한 전체 도면 중, 동일 또는 대응하는 부재 또는 부품에 대해서는, 동일 또는 대응하는 참조 번호를 부여하여, 중복되는 설명을 생략한다. 또한, 도면은 부재 혹은 부품 사이의 상대비를 나타내는 것을 목적으로 하지 않고, 따라서, 구체적인 두께나 치수는 이하의 한정적이 아닌 실시 형태에 비추어, 당업자에 의해 결정되어야 할 것이다.
<기판 위치 검출 장치>
도 1은 본 발명의 일 실시 형태에 의한 기판 위치 검출 장치를 도시하는 개략도이다. 도시한 바와 같이, 본 실시 형태에 의한 기판 위치 검출 장치(101)는 하우징(102)과, 하우징(102) 내에 설치되어, 위치 검출의 대상인 웨이퍼(W)를 촬상하는 카메라(104)와, 하우징(102) 내에 있어서 카메라(104)의 하방에 배치되는 패널(106)과, 패널(106)에 광을 조사하는 광원(108)을 갖고 있다.
하우징(102)은, 본 실시 형태에 있어서는 위치 검출의 대상인 웨이퍼(W)가 수납되는 성막 장치(200) 상에 배치된다. 하우징(102)은 하부에 개구부를 갖고, 이 개구부를 덮는 투명한 창(102a)을 갖고 있다. 또한, 하우징(102)에는 상방의 측벽에 있어서 배관(102b)이 접속되고, 하방의 측벽에 있어서 배관(102c)이 접속되 어 있다. 도 1 중에 2점 쇄선의 화살표로 나타낸 바와 같이, 예를 들어 청정 공기를 배관(102b)으로부터 흐르게 하여 배관(102c)으로부터 배기함으로써, 하우징(102) 내에 설치된 카메라(104)를 냉각할 수 있다. 또한, 위치 검출 시에 웨이퍼(W)가 가열되어 있는 경우에는, 복사열에 의해 창(102a)이 가열되어, 이에 의해 아지랭이가 발생하여 화상이 희미해지는 경우가 있다. 그러나, 상기한 청정 공기에 의해 창(102a)도 냉각할 수 있고, 아지랭이에 의한 화상의 희미해짐을 저감시킬 수 있다.
카메라(104)는 촬상 소자로서 전하 결합 소자(CCD)를 갖고 있고, 하우징(102)의 상방부에 하우징(102)의 개구부 및 창(102a)을 바라보도록 설치되어 있다. 이 구성에 의해, 카메라(104)는 창(102a)과, 성막 장치(200)의 천장판(11)에 기밀하게 설치된 뷰포트(201)를 통해, 성막 장치(200) 내의 서셉터(2)에 적재되는 웨이퍼(W)를 촬상할 수 있다.
또한, 카메라(104)에는 제어부(104a)가 전기적으로 접속되어 있다. 제어부(104a)에 의해, 카메라(104)의 동작(온/오프, 포커싱, 촬상 등)이 제어되는 동시에, 카메라(104)에 의해 얻어진 화상 데이터가 처리된다. 이 처리에는 화상 데이터로부터 웨이퍼(W)나 서셉터(2)의 위치를 구하는 연산 처리가 포함된다. 또한, 제어부(104a)가, 소정의 입출력 장치(도시하지 않음)를 통해 기억 매체에 기억된 프로그램을 다운로드하고, 이 프로그램에 따라서, 카메라(104)나 광원(108) 등의 각 구성을 제어함으로써, 후술하는 기판 위치 검출 방법이 실시된다.
패널(106)은, 본 실시 형태에 있어서는, 백색 안료가 도포된 유백색의 아크 릴판으로 제작되어, 하우징(102) 내에 있어서 카메라(104)와 창(102a) 사이에 설치되어 있다. 패널(106)의 대략 중앙에는 개구부(106a)가 형성되어 있고, 개구부(106a)를 통해, 카메라(104)는 성막 장치(200) 내의 웨이퍼(W) 및 그 주변을 촬상할 수 있다. 따라서, 개구부(106a)의 위치 및 크기는 카메라(104)가 웨이퍼(W) 및 그 주변의 영역, 구체적으로는 웨이퍼 위치의 검출에 이용되는 웨이퍼(W)의 에지와, 서셉터(2)에 형성되는 위치 검출용 마크(2a)(후술)를 촬상할 수 있도록 결정해도 좋고, 또한 패널(106)과 카메라(104)의 거리도 고려하여 결정해도 좋다.
또한, 패널(106)에는 카메라(104)에 의한 웨이퍼(W) 등의 촬상을 방해하지 않는 위치에 있어서, 하나 또는 복수의 개구부(106b)가 형성되어 있다. 개구부(106b)는 하우징(102)에 접속되는 배관(102a)으로부터 공급되는 청정 공기의 흐름을 촉진하기 위해 설치된다.
광원(108)은, 본 실시 형태에 있어서는 패널(106)과 창(102a) 사이에 있어서 하우징(102)의 내측벽에 설치되어 있다. 이로 인해, 광원(108)은 패널(106)의 하면에 광을 조사할 수 있고, 또한 패널(106)의 개구부(106a)를 통해 카메라(104)에 광이 조사되는 일이 없다. 광원(108)은 상하 방향으로 선회 가능하게 설치되어도 좋고, 또한 소정의 모터 등을 설치하여 조사 방향의 절환을 할 수 있도록 하면 바람직하다. 이와 같이 하면, 택일적으로, 광원(108)의 상방의 패널(106)에 광을 조사하거나, 광원(108)의 하방의 웨이퍼(W)에 광을 조사할 수 있다.
광원(108)은, 본 실시 형태에 있어서는 백색 발광 다이오드(LED)(108a)를 포함하고, 또한 백색 LED에 전력을 공급하는 전원(108b)을 갖고 있다. 전원(108b)은 출력 전압을 바꿀 수 있고, 이에 의해, 패널(106)에 의해 간접적으로 광조사되는 웨이퍼(W)로의 조도를 조정할 수 있다. 조도의 조정에 의해, 카메라(104)는 보다 선명한 화상을 촬상하는 것이 가능해진다.
이상과 같이 구성된, 본 발명의 일 실시 형태에 의한 기판 위치 검출 장치(101)가 발휘하는 효과ㆍ이점은 이하의 기판 위치 검출 방법의 설명으로부터 명확해진다.
<기판 위치 검출 방법>
도 1 내지 도 5를 참조하면서, 본 발명의 일 실시 형태에 의한 기판 위치 검출 방법을 설명한다. 여기서는, 상술한 기판 위치 검출 장치(101)를 사용하여, 성막 장치(200) 내로 반입되어 서셉터(2)에 적재되는 웨이퍼(W)의 위치를 검출하는 경우를 설명한다. 또한, 성막 장치(200)에서 사용되는 서셉터(2)는, 도 3에 도시한 바와 같이, 5매의 웨이퍼가 적재되는 적재부(24)를 등각도 간격(약 72°)으로 갖고 있다. 웨이퍼의 위치 검출은, 예를 들어 웨이퍼를 성막 장치(200) 내로 반입하여 소정의 적재부에 적재했을 때에 행해지고, 1런에 반입되는 5매 이하의 웨이퍼의 각각에 대해 순차로 행해진다. 또한, 적재부(24)는, 예를 들어 웨이퍼(W)의 직경보다도 큰 내경을 갖는 원형의 오목부라도 좋다. 구체적으로는, 약 300㎜(12 인치)의 직경을 갖는 웨이퍼(W)에 대해, 오목 형상의 적재부(24)의 내경은, 예를 들어 약 304㎜ 내지 약 308㎜라도 좋다.
우선, 스텝 S21(도 2)에 있어서, 웨이퍼(W)가, 포크를 갖는 반송 아암(도시하지 않음)에 의해, 성막 장치(200)의 챔버(12)(도 1) 내로 반입되어, 서셉터(2)에 형성된 관통 구멍을 통해 승강 가능한 승강 핀(16)(도 3)에 의해 반송 아암으로부터 적재부(24)로 적재된다. 다음에, 이 웨이퍼(W)는 서셉터(2)의 회전에 의해, 기판 위치 검출 장치(101)의 카메라(104)에 의해 촬상되는 위치(이하, 촬상 위치라고 함)로 이동된다.
계속해서, 기판 위치 검출 장치(101)의 광원(108)이 점등되어, 패널(106)의 하면에 광이 조사된다. 그리고, 기판 위치 검출 장치(101)의 카메라(104)에 의해, 웨이퍼(W)의 에지를 포함하는 영역과 그 주변의 서셉터(2)가 촬상되어(스텝 S22), 제어부(104a)에 의해 화상 데이터가 수집된다. 카메라(104)에 의해 얻어진 화상의 일례를 나타내면, 도 4의 (b)와 같다. 도시한 바와 같이, 웨이퍼(W)는 대략 균일하게 백색으로 나타나고, 서셉터(2)는 흑색으로 나타나 있다. 또한, 도면 중, 웨이퍼(W)에 보이는 검은 직사각형은 패널(106)의 개구부(106b)이다.
계속해서, 제어부(104a)에 의해, 성막 장치(200)의 서셉터(2)에 형성된 위치 검출용 마크(2a)가 검출된다. 이 검출은 미리 제어부(104a)에 기억된 위치 검출용 마크(2a)의 형상 또는 모양 등에 기초한 화상 처리에 의해 행할 수 있다. 또한, 검출된 위치 검출용 마크(2a)의 위치에 기초하여, 검출 대상의 웨이퍼(W)가 적재되는 적재부(24)의 중심 위치가 추정된다(스텝 S23). 이 추정을 위해서는, 예를 들어, 도 5에 도시한 바와 같이 위치 검출 마크(2a)가, 위치 검출 마크(2a)의 중심과 적재부(24)의 중심(C)이 소정의 축 상에 위치하도록 형성되어 있으면 바람직하다. 이와 같이 하면, 미리 결정된 위치 검출 마크(2a)의 중심으로부터 거리에 따라서, 적재부(24)의 중심(C)의 위치를 용이하게 추정할 수 있다.
다음에, 제어부(104a)는 카메라(104)에 의해 얻어진 화상에 있어서, 웨이퍼(W)의 에지 라인을 인식한다. 이 인식은 제어부(104a)에 미리 구비된 에지 인식 기능을 이용해도 좋다. 계속해서, 예를 들어 에지 라인에 접하는 복수의 접선과 그 접점에 있어서 교차하는 복수의 직선(법선)이 교차하는 점(좌표)을 구함으로써, 웨이퍼(W)의 중심(WO)(도 5)의 위치를 추정할 수 있다(스텝 S24).
계속해서, 추정된 웨이퍼(W)의 중심(WO) 위치와 적재부(24)의 중심(C) 위치의 거리(d)가 구해진다. 여기서, 도 5에 도시하는 좌표축에 있어서, 적재부(24)의 중심(C)이 점(XC, YC)으로 나타나고, 웨이퍼(W)의 중심(WO)이 점(XW, YW)으로 나타난다고 하면,
d2 = [(XW - XC)2 + (YW - YC)2]/CF2
이라고 하는 관계식이 성립한다. 수학식 1에 있어서, CF는 환산 계수이고, 예를 들어 CCD 상의 화소 사이의 거리에 대한 실제의 치수의 비를 나타내고 있다.
이 후, 수학식 1에 기초하여 구한 거리(d)를 사용하여, 웨이퍼(W)가 소정의 범위 내에 있는지 여부가 판정된다(스텝 S25). 예를 들어, Dw㎜의 직경을 갖는 웨이퍼(W)에 대해, 적재부(24)가 오목부이며, 그 내경이 D0㎜인 경우,
0 ≤ d2 ≤ L2
L = (D0 - Dw)/2
이라고 하는 관계를 만족시킬 때에는, 웨이퍼(W)의 중심(WO)은 적재부(24)의 중심(C)을 중심으로 하는 반경(L)의 원(R)의 내측으로 들어가게 된다. 즉, 이 경우, 웨이퍼(W)는 적재부(24)에 수납되어 있게 되어, 웨이퍼(W)의 위치는 소정의 범위 내에 있다고 판정된다.
또한, 웨이퍼(W)를 적재부(24)에 적재하는 경우에, 승강 핀(16)을 사용하지 않고, 엔드 이펙터를 갖는 반송 아암을 사용할 때에는, 엔드 이펙터의 사이즈에 따라서,
0 ≤ d2 ≤ L12
L1 < L = (D0 - Dw)/2
이라고 하는 관계식을 사용하여, 웨이퍼(W)의 위치는 소정의 범위 내에 있는지 여부를 판정해도 좋다.
또한, 상기한 촬상, 중심 추정 및 판정이 행해지는 동안, 성막 장치(200)에 있어서는, 촬상 등의 처리가 행해진 웨이퍼(W)가 적재되는 적재부(24)에 인접하는 적재부(24)에 다음의 웨이퍼(W)가 적재된다. 이에 의해, 시간의 낭비 없이, 웨이퍼(W)의 위치 검출 및 웨이퍼(W)의 반입이 가능해져, 처리량의 저하를 방지할 수 있다.
거리(d)가 소정의 범위 내에 있는 경우(스텝 S25 : 예), 제어부(104a)는 성막 장치(200)에 대해 웨이퍼(W)의 반입이 종료되었는지 여부를 문의하여(스텝 S26), 남은 웨이퍼(W)가 있다는 정보를 얻은 경우에는, 스텝 S22로 복귀된다. 즉, 성막 장치(200)의 서셉터(2)가 회전하여, 다음의 웨이퍼(W)가 촬상 위치로 이동되어, 그 웨이퍼(W)의 에지와 그 주변 영역이 촬상되고, 이 후, 이 웨이퍼(W)에 대해 스텝 S25까지가 행해진다. 이후, 서셉터(2)에 적재되는 모든 웨이퍼(W)에 대해 위치 검출이 종료될 때까지, 마찬가지로 스텝 S21 내지 S25가 반복된다.
또한, 거리(d)가 소정의 범위 내에 없다고 판정된 경우에는(스텝 S25 : 아니오), 제어부(104a)로부터 알람이 발생되어, 제어부(104a)로부터 성막 장치(200)에 대해 동작의 중지를 요구하는 신호가 송신되고(스텝 S27), 이에 의해 성막 장치(200)가 대기 상태로 된다. 이 경우, 성막 장치(200)의 조작자에 의해, 소정의 수순에 따라서, 소정의 위치에 없다고 판정된 웨이퍼(W)를 소정의 위치에 적재하는 등의 수동 작업이 행해진다.
스텝 S26에 있어서, 남은 웨이퍼(W)가 없다고, 즉 모든(5매의) 웨이퍼(W)가 소정의 위치에 있다고 판정되면(스텝 S26 : 아니오), 성막 장치(200)에 있어서, 웨이퍼(W) 상에 소정의 막이 성막된다(스텝 S28). 성막이 종료되면, 반송 아암에 의해 웨이퍼(W)가 성막 장치(200)의 챔버(12)로부터 반출된다. 단, 반출 전에, 스텝 S21 내지 S27에 따라서, 다시 웨이퍼(W)의 위치 검출을 행해도 좋다. 성막 후의 위치 검출은 성막 중에 서셉터(2)가 회전함으로써 웨이퍼(W)의 위치가 어긋난 경우 에, 예를 들어 엔드 이펙터를 갖는 반송 아암이 웨이퍼(W)를 파지할 수 없다고 하는 사태를 방지하는 점에서 유효하다.
이하, 도 4의 (a) 및 도 4의 (b)를 비교하면서 본 실시 형태에 의한 기판 위치 검출 방법의 효과 및 이점을 설명한다. 도 4의 (a)는 비교를 위해, 웨이퍼(W)와 그 주변 영역에 대해 직접적으로 광을 조사하여 촬상한 화상을 도시한다. 이 경우, 웨이퍼(W)는 검게 표시되어 있다. 이로 인해, 서셉터(2)의 적재부(24)의 내주벽에 의해 발생하는 그림자, 또한/또는 웨이퍼(W)의 두께에 의해 발생하는 그림자와, 웨이퍼(W)의 에지가 겹쳐지면, 웨이퍼(W)의 에지를 정확하게 인식할 수 없다. 그 결과, 웨이퍼(W)의 중심, 나아가서는 웨이퍼(W)의 위치를 정확하게 파악할 수 없게 되어 버린다. 또한, 웨이퍼(W)의 에지는 외향으로 경사져 있으므로, 이 경사면으로부터 강한 반사광이 발생하는 경우가 있다. 그렇게 되면, 화상 상에서는 웨이퍼(W)의 에지의 일부가 강하게 빛나 보이게 되어, 에지의 원호 형상이 왜곡되어 버려, 웨이퍼(W)의 중심을 정확하게 추정할 수 없게 된다.
한편, 본 발명의 실시 형태에 의한 기판 위치 검출 방법에 따르면, 도 4의 (b)에 도시한 바와 같이, 웨이퍼(W)는 백색으로 표시되어 있다. 이 이유는 이하와 같다. 패널(106)은 상술한 바와 같이 백색 안료가 도포된 아크릴판으로 제작되어 있으므로, 패널(106)의 하면[웨이퍼(W)를 면하는 면]에 대해 광원(108)으로부터 광을 조사하면, 패널(106)의 전체가 대략 균일하게 백색으로 발광하게 된다. 이때, 패널(106)의 하방에 배치되는 웨이퍼(W)는 대략 균일하게 백색으로 발광하는 패널(106)에 의해 조사되므로, 또는, 이와 같이 발광하는 패널(106)이 투영되기 때문 에, 균일하게 백색으로 보인다. 따라서, 카메라(104)에 의해 촬영되는 화상에 있어서도, 웨이퍼(W)의 에지를 포함하는 영역이 균일하게 빛나 보인다. 한편, 웨이퍼(W)가 적재되는 서셉터(2)는 카본이나 SiC 코트 카본으로 제작되기 때문에, 패널(106)로부터의 광에 조사되어도 검게 보인다. 따라서, 웨이퍼(W)와 서셉터(2) 사이에 큰 콘트라스트가 발생한다. 또한, 패널(106)로부터, 광이 다양한 방향으로부터 웨이퍼(W) 및 서셉터(2)에 도달하므로, 웨이퍼(W)나 적재부(24)에 의한 그림자가 발생하기 어렵다. 따라서, 웨이퍼(W)의 에지는 명료하게 인식되어, 검출 오차의 저감이 방지된다.
또한, 패널(106)이 전체면에서 균일하게 발광하고 있으므로, 웨이퍼(W)의 에지로부터의 강한 반사가 없어, 에지로부터의 반사광에 수반하는 검출 오차가 발생하는 경우도 없다. 또한, 웨이퍼 표면으로부터의 강한 반사광도 없고, 카메라(104)에 있어서 플레어 등이 발생하는 경우도 없으므로, 웨이퍼(W)의 에지를 명료하게 인식하는 것이 가능해진다.
이상으로부터, 본 발명의 실시 형태에 의한 기판 위치 검출 장치 및 기판 위치 검출 방법의 효과 및 이점이 이해된다.
<기판 위치 검출 장치를 구비하는 성막 장치>
이하, 본 발명의 실시 형태에 의한 상술한 기판 위치 검출 장치를 구비하는, 본 발명의 다른 실시 형태에 의한 성막 장치에 대해, 도 7 내지 도 25를 참조하면서 설명한다.
본 발명의 실시 형태에 의한 성막 장치(200)는, 도 7(도 9의 B-B선에 따른 단면도)에 도시한 바와 같이 평면 형상이 대강 원형인 편평한 진공 용기(1)와, 이 진공 용기(1) 내에 설치되어, 당해 진공 용기(1)의 중심에 회전 중심을 갖는 서셉터(2)를 구비하고 있다. 진공 용기(1)는 천장판(11)이 용기 본체(12)로부터 분리될 수 있도록 구성되어 있다. 천장판(11)은 내부의 감압 상태에 의해 밀봉 부재, 예를 들어 O링(13)을 개재하여 용기 본체(12)측으로 압박되고, 이에 의해 진공 용기(1)가 기밀하게 밀폐된다. 한편, 천장판(11)을 용기 본체(12)로부터 분리할 필요가 있을 때에는, 도시하지 않은 구동 기구에 의해 상방으로 들어올려진다.
또한, 천장판(11)에는, 예를 들어 석영 유리를 사용하여 제작되는 뷰포트(201)가, O링 등의 도시하지 않은 밀봉 부재에 의해 진공 용기(1)에 대해 기밀하게 설치되어 있다. 천장판(11)의 상면에는 뷰포트(201)에 대해 창(102a)이 마주 보도록, 기판 위치 검출 장치(101)가 착탈 가능하게 설치되어 있다. 기판 위치 검출 장치(101)의 구성은 상술한 바와 같다. 기판 위치 검출 장치(101)를 사용하여, 본 발명의 실시 형태에 의한 상술한 기판 위치 검출 방법을 실시함으로써, 성막 장치(200) 내의 서셉터(2)(후술)에 적재되는 웨이퍼(W)(도 7)의 위치를 검출할 수 있다.
서셉터(2)는 중심부에서 원통 형상의 코어부(21)에 고정되고, 이 코어부(21)는 연직 방향으로 신장되는 회전축(22)의 상단부에 고정되어 있다. 회전축(22)은 용기 본체(12)의 저면부(14)를 관통하여, 그 하단부가 당해 회전축(22)을 연직축 주위로, 본 예에서는 시계 방향으로 회전시키는 구동부(23)에 설치되어 있다. 회전축(22) 및 구동부(23)는 상면이 개방된 통 형상의 케이스체(20) 내에 수납되어 있다. 이 케이스체(20)는 그 상면에 설치된 플랜지 부분(20a)을 통해 진공 용기(1)의 저면부(14)의 하면에 기밀하게 설치되어 있고, 이에 의해 케이스체(20)의 내부 분위기가 외부 분위기로부터 격리되어 있다.
도 8 및 도 9에 도시한 바와 같이, 서셉터(2)의 상면에, 각각 웨이퍼(W)가 적재되는 복수(도시한 예에서는 5개)의 원형 오목부 형상의 적재부(24)가 형성되어 있다. 단, 도 9에서는 웨이퍼(W)를 1매만을 도시하고 있다. 적재부(24)는 서셉터(2)에 서로 약 72°의 각도 간격으로 배치되어 있다.
여기서, 도 10의 (a)를 참조하면, 적재부(24)와 적재부(24)에 적재된 웨이퍼(W)의 단면이 도시되어 있다. 이 도면에 도시한 바와 같이, 적재부(24)는 웨이퍼(W)의 직경보다도 약간 큰, 예를 들어 4㎜ 큰 직경과, 웨이퍼(W)의 두께와 동등한 깊이를 갖고 있다. 따라서, 웨이퍼(W)가 적재부(24)에 적재되었을 때, 웨이퍼(W)의 표면은, 서셉터(2)의 적재부(24)를 제외한 영역의 표면과 동일한 높이에 있다. 가령, 웨이퍼(W)와 그 영역 사이에 비교적 큰 단차가 있으면, 그 단차에 의해 가스의 흐름에 난류가 발생하여, 웨이퍼(W) 상에서의 막두께 균일성이 영향을 받는다. 이로 인해, 2개의 표면이 동일한 높이에 있다. 「동일한 높이」는, 여기서는 높이의 차가 약 5㎜ 이하인 것을 의미하지만, 그 차이는 가공 정밀도가 허용되는 범위에서 가능한 한 제로에 근접해야 한다.
또한, 적재부(24)의 바닥에는 3개의 관통 구멍(도시하지 않음)이 형성되어 있고, 이들을 통해 3개의 승강 핀(16)(도 14 참조)이 승강한다. 승강 핀(16)은 웨이퍼(W)의 이면을 지지하여, 웨이퍼(W)를 승강시킨다.
용기 본체(12)의 측벽에는, 도 8, 도 9 및 도 14에 도시한 바와 같이, 반송구(15)가 형성되어 있다. 웨이퍼(W)는 반송구(15)를 통해 반송 아암(10)에 의해 진공 용기(1) 속으로, 또는 진공 용기(1)로부터 밖으로 반송된다. 이 반송구(15)에는 게이트 밸브(도시하지 않음)가 설치되고, 이에 의해 반송구(15)가 개폐된다. 하나의 적재부(24)가 반송구(15)에 정렬하여, 게이트 밸브가 개방되면, 웨이퍼(W)는 반송 아암(10)에 의해 진공 용기(1) 내로 반송되어, 반송 아암(10)으로부터 적재부(24)에 놓인다. 웨이퍼(W)를 반송 아암(10)으로부터 적재부(24)로 내리기 위해, 또한 적재부(24)로부터 들어올리기 위해, 승강 핀(16)(도 14)이 설치되어 있고, 승강 핀(16)은 승강 기구(도시하지 않음)에 의해, 서셉터(2)의 적재부(24)에 형성된 관통 구멍을 통해 승강된다. 이와 같이 하여, 웨이퍼(W)가 적재부(24)에 적재된다.
여기서, 기판 위치 검출 장치(101)와, 서셉터(2), 적재부(24) 및 반송구(15)의 평면적인 위치 관계를 설명하면, 도 9에 도시한 바와 같이, 기판 위치 검출 장치(101)는 반송구(15)의 중심으로부터 약 72° 어긋난 위치에 배치되어 있다. 이에 의해, 서셉터(2)의 5개의 적재부(24) 중 하나가 반송구(15)에 정렬했을 때, 그 적재부(24)의 이웃하는 적재부(24)가 기판 위치 검출 장치(101)의 하방에 위치한다. 따라서, 반송구(15)에 정렬한 적재부(24)에 웨이퍼(W)를 적재하는 동안에, 그 이웃하는 적재부(24)에 적재된 웨이퍼(W)의 에지와 그 주변 영역은 카메라(104)(도 1)의 시야(F)에 들어가고, 상술한 기판 위치 검출 방법에 의해, 그 웨이퍼(W)가 소정의 위치에 있는지 여부를 판정할 수 있다. 환언하면, 하나의 웨이퍼(W)에 대해 위치 검출을 행하고 있는 동안에, 이웃하는 적재부(24)에 다른 웨이퍼(W)를 적재할 수 있다. 이와 같이 하여, 5매의 웨이퍼(W)가 적재부(24)에 순차적으로 적재되어, 위치 검출이 행해지므로, 기판 위치 검출에 수반하는 처리량의 저하를 저감시키는 것이 가능해진다.
도 8 및 도 9를 참조하면, 서셉터(2)의 상방에 제1 반응 가스 공급 노즐(31), 제2 반응 가스 공급 노즐(32) 및 분리 가스 공급 노즐(41, 42)을 포함하고, 이들은 소정의 각도 간격으로 반경 방향으로 연장되어 있다. 이 구성에 의해, 적재부(24)는 노즐(31, 32, 41 및 42)의 아래를 통과할 수 있다. 도시한 예에서는, 제2 반응 가스 공급 노즐(32), 분리 가스 공급 노즐(41), 제1 반응 가스 공급 노즐(31) 및 분리 가스 공급 노즐(42)이 이 순서로 시계 방향으로 배치되어 있다. 이들 가스 노즐(31, 32, 41, 42)은 용기 본체(12)의 주위벽부를 관통하여, 가스 도입 포트(31a, 32a, 41a, 42a)인 단부를 벽의 외주벽에 설치함으로써, 지지되어 있다. 가스 노즐(31, 32, 41, 42)은, 도시한 예에서는 진공 용기(1)의 주위벽부로부터 진공 용기(1) 내로 도입되어 있지만, 환상의 돌출부(5)(후술)로부터 도입해도 좋다. 이 경우, 돌출부(5)의 외주면과 천장판(11)의 외표면으로 개방되는 L자형의 도관을 설치하여, 진공 용기(1) 내에서 L자형의 도관의 한쪽 개구에 가스 노즐[31(32, 41, 42)]을 접속하고, 진공 용기(1)의 외부에서 L자형의 도관의 다른 쪽 개구에 가스 도입 포트[31a(32a, 41a, 42a)]를 접속할 수 있다.
도시되어 있지 않지만, 반응 가스 공급 노즐(31)은 제1 반응 가스인 비스터셜부틸아미노실란(BTBAS)의 가스 공급원에 접속되고, 반응 가스 공급 노즐(32)은 제2 반응 가스인 오존(O3)의 가스 공급원에 접속되어 있다.
반응 가스 공급 노즐(31, 32)에는 하방측으로 반응 가스를 토출하기 위한 토출 구멍(33)이 노즐의 길이 방향으로 간격을 두고 배열되어 있다. 본 실시 형태에 있어서는, 토출 구멍(33)은 약 0.5㎜의 구경을 갖고, 반응 가스 공급 노즐(31, 32)의 길이 방향을 따라서 약 10㎜의 간격으로 배열되어 있다. 또한, 반응 가스 공급 노즐(31)의 하방 영역은 BTBAS 가스를 웨이퍼에 흡착시키기 위한 제1 처리 영역(P1)이고, 반응 가스 공급 노즐(32)의 하방 영역은 O3 가스를 웨이퍼에 흡착시키기 위한 제2 처리 영역(P2)이다.
한편, 분리 가스 공급 노즐(41, 42)은 질소 가스(N2)의 가스 공급원(도시하지 않음)에 접속되어 있다. 분리 가스 공급 노즐(41, 42)은 하방측으로 분리 가스를 토출하기 위한 토출 구멍(40)을 갖고 있다. 토출 구멍(40)은 길이 방향으로 소정의 간격으로 배치되어 있다. 본 실시 형태에 있어서는, 토출 구멍(40)은 약 0.5㎜의 구경을 갖고, 분리 가스 공급 노즐(41, 42)의 길이 방향을 따라서 약 10㎜의 간격으로 배열되어 있다.
분리 가스 공급 노즐(41, 42)은 제1 처리 영역(P1)과 제2 처리 영역(P2)을 분리하도록 구성되는 분리 영역(D)에 설치되어 있다. 각 분리 영역(D)에 있어서는, 진공 용기(1)의 천장판(11)에, 도 8 내지 도 10에 도시한 바와 같이 볼록 형상부(4)가 형성되어 있다. 볼록 형상부(4)는 부채형의 상면 형상을 갖고 있고, 그 정상부는 진공 용기(1)의 중심에 위치하고, 원호는 용기 본체(12)의 내주벽의 근방 을 따라서 위치하고 있다. 또한, 볼록 형상부(4)는 볼록 형상부(4)가 2분할되도록 반경 방향으로 신장되는 홈부(43)를 갖고 있다. 홈부(43)에는 분리 가스 공급 노즐[41(42)]이 수용되어 있다. 분리 가스 공급 노즐[41(42)]의 중심축과 부채형의 볼록 형상부(4)의 한쪽의 변과의 사이의 거리는 분리 가스 공급 노즐[41(42)]의 중심축과 부채형의 볼록 형상부(4)의 다른 쪽의 변과의 사이의 거리와 거의 동등하다. 또한, 홈부(43)는, 본 실시 형태에서는 볼록 형상부(4)를 이등분하도록 형성되지만, 다른 실시 형태에 있어서는, 예를 들어 볼록 형상부(4)에 있어서의 서셉터(2)의 회전 방향 상류측이 넓어지도록 홈부(43)를 형성해도 좋다.
상기한 구성에 따르면, 도 10의 (a)에 도시한 바와 같이, 분리 가스 공급 노즐[41(42)]의 양측에는 평탄한 낮은 천장면(44)(제1 천장면)이 있고, 낮은 천장면(44)의 양측에는 높은 천장면(45)(제2 천장면)이 있다. 볼록 형상부(4)[천장면(44)]는 제1 및 제2 반응 가스가 볼록 형상부(4)와 서셉터(2) 사이에 침입하는 것을 저지하여 혼합하는 것을 저지하기 위한 협애한 공간인 분리 공간을 형성한다.
도 10의 (b)를 참조하면, 서셉터(2)의 회전 방향을 따라서 반응 가스 공급 노즐(32)로부터 볼록 형상부(4)를 향해 흐르는 O3 가스가 당해 공간으로 침입하는 것이 저지되고, 또한 서셉터(2)의 회전 방향과 반대 방향을 따라서 반응 가스 공급 노즐(31)로부터 볼록 형상부(4)를 향해 흐르는 BTBAS 가스가 당해 공간으로 침입하는 것이 저지된다. 「가스가 침입하는 것이 저지된다」는 것은, 분리 가스 공급 노즐(41)로부터 토출된 분리 가스인 N2 가스가 제1 천장면(44)과 서셉터(2)의 표면 사이에 확산되고, 본 예에서는 당해 제1 천장면(44)에 인접하는 제2 천장면(45)의 하방측의 공간으로 분출되고, 이에 의해 제2 천장면(45)의 하방측 공간으로부터의 가스가 침입할 수 없게 되는 것을 의미한다. 그리고, 「가스가 침입할 수 없게 된다」는 것은, 제2 천장면(45)의 하방측 공간으로부터 볼록 형상부(4)의 하방측 공간으로 전혀 들어갈 수 없는 경우만을 의미하는 것이 아니라, 반응 가스의 일부가 침입해도, 그 반응 가스가 분리 가스 공급 노즐(41)을 향해 더 진행할 수 없고, 따라서 혼합될 수 없는 것도 의미한다. 즉, 이와 같은 작용이 얻어지는 한, 분리 영역(D)은 제1 처리 영역(P1)과 제2 처리 영역(P2)을 분리하게 된다. 또한, 웨이퍼에 흡착한 가스에 대해서는 당연히 분리 영역(D) 내를 통과할 수 있다. 따라서, 가스의 침입 저지는 기상 중의 가스를 의미하고 있다.
도 7 내지 도 9를 참조하면, 천장판(11)의 하면에는 내주연이 코어부(21)의 외주면에 면하도록 배치된 환상의 돌출부(5)가 형성되어 있다. 돌출부(5)는 코어부(21)보다도 외측의 영역에 있어서 서셉터(2)와 대향하고 있다. 또한, 돌출부(5)는 볼록 형상부(4)와 일체로 형성되어, 볼록 형상부(4)의 하면과 돌출부(5)의 하면은 하나의 평면을 형성하고 있다. 즉, 돌출부(5)의 하면의 서셉터(2)로부터의 높이는 볼록 형상부(4)의 하면[천장면(44)]의 높이와 동등하다. 이 높이는, 후에 높이(h)로 언급된다. 단, 돌출부(5)와 볼록 형상부(4)는 반드시 일체가 아니라도 좋고, 별체라도 좋다. 또한, 도 8 및 도 9는 볼록 형상부(4)를 진공 용기(1) 내에 남긴 채 천장판(11)을 제거한 진공 용기(1)의 내부 구성을 도시하고 있다.
본 실시 형태에 있어서는, 분리 영역(D)은 볼록 형상부(4)로 되어야 할 부채 형 플레이트에 홈부(43)를 형성하고, 분리 가스 공급 노즐[41(42)]을 홈부(43)에 배치함으로써 형성된다. 그러나, 2개의 부채형 플레이트가 분리 가스 공급 노즐[41(42)]의 양측에 배치되도록, 이들 2개의 부채형 플레이트를 천장판(11)의 하면에 나사로 설치하도록 해도 좋다.
본 실시 형태에 있어서, 직경 약 300㎜를 갖는 웨이퍼(W)가 진공 용기(1) 내에서 처리되게 되는 경우, 볼록 형상부(4)는 서셉터의 회전 중심으로부터 140㎜ 이격된 내측의 원호(li)(도 9)에 따른, 예를 들어 140㎜의 둘레 방향 길이와, 서셉터(2)의 적재부(24)의 최외부에 대응하는 외측의 원호(lo)(도 9)에 따른, 예를 들어 502㎜의 둘레 방향 길이를 갖는다. 또한, 외측의 원호(lo)에 따른, 볼록 형상부(4)의 하나의 측벽으로부터 홈부(43)의 바로 근처의 측벽까지의 둘레 방향 길이는 약 246㎜이다.
또한, 볼록 형상부(4)의 하면, 즉 천장면(44)의, 서셉터(2)의 표면으로부터 측정한 높이(h)[도 10의 (a)]는, 예를 들어 약 0.5㎜ 내지 약 10㎜이면 좋고, 약 4㎜이면 적합하다. 또한, 서셉터(2)의 회전수는, 예를 들어 1rpm 내지 500rpm으로 설정되어 있다. 분리 영역(D)의 분리 기능을 확보하기 위해서는, 처리 진공 용기(1) 내의 압력이나 서셉터(2)의 회전수 등에 따라서, 볼록 형상부(4)의 크기나 볼록 형상부(4)의 하면[제1 천장면(44)]과 서셉터(2)의 표면과의 높이(h)를, 예를 들어 실험 등을 통해 설정해도 좋다. 또한 분리 가스로서는, 본 실시 형태에서는 N2 가스이지만, 분리 가스가 산화실리콘의 성막에 영향을 미치지 않는 한에 있어서, He나 Ar 가스 등의 불활성 가스나 수소 가스 등이라도 좋다.
도 11은 도 9의 A-A선에 따른 단면도의 절반을 도시하고, 여기에는 볼록 형상부(4)와, 볼록 형상부(4)와 일체로 형성된 돌출부(5)가 도시되어 있다. 도 11을 참조하면, 볼록 형상부(4)는 그 외측 테두리에 있어서 L자 형상으로 굴곡되는 굴곡부(46)를 갖고 있다. 볼록 형상부(4)는 천장판(11)에 설치되어 천장판(11)과 함께 용기 본체(12)로부터 분리될 수 있으므로, 굴곡부(46)와 서셉터(2) 사이 및 굴곡부(46)와 용기 본체(12) 사이에 약간의 간극이 있지만, 굴곡부(46)는 서셉터(2)와 용기 본체(12) 사이의 공간을 대략 매립하고 있어, 반응 가스 공급 노즐(31a)로부터의 제1 반응 가스(BTBAS)와 반응 가스 공급 노즐(32a)로부터의 제2 반응 가스(오존)가 이 간극을 통해 혼합되는 것을 방지한다. 굴곡부(46)와 용기 본체(12) 사이의 간극 및 굴곡부(46)와 서셉터(2) 사이에 약간의 간극은 상술한 서셉터로부터 볼록 형상부(4)의 천장면(44)까지의 높이(h)와 대략 동일한 치수로 되어 있다. 도시한 예에 있어서, 굴곡부(46)의 서셉터(2)의 외주면에 면하는 측벽이 분리 영역(D)의 내주벽을 구성하고 있다.
도 9에 도시하는 B-B선에 따른 단면도인 도 7을 다시 참조하면, 용기 본체(12)는 서셉터(2)의 외주면에 대향하는 용기 본체(12)의 내주부에 오목부를 갖고 있다. 이후, 이 오목부를 배기 영역(6)이라고 칭한다. 배기 영역(6)의 하방에는 배기구(61)[다른 배기구(62)에 대해서는 도 9 참조]가 형성되고, 이들에는 다른 배기구(62)에 대해서도 사용될 수 있는 배기관(63)을 통해 진공 펌프(64)에 접속되어 있다. 또한, 배기관(63)에는 압력 조정기(65)가 설치되어 있다. 복수의 압력 조 정기(65)를, 대응하는 배기구(61, 62)에 대해 설치해도 좋다.
도 9를 다시 참조하면, 배기구(61)는 상방으로부터 볼 때, 제1 반응 가스 공급 노즐(31)과, 제1 반응 가스 공급 노즐(31)에 대해 서셉터(2)의 시계 회전 방향의 하류에 위치하는 볼록 형상부(4)와의 사이에 배치되어 있다. 이 구성에 의해, 배기구(61)는 실질적으로 제1 반응 가스 공급 노즐(31)로부터의 BTBAS 가스만을 배기할 수 있다. 한편, 배기구(62)는 상방으로부터 볼 때, 제2 반응 가스 공급 노즐(32)과, 제2 반응 가스 공급 노즐(32)에 대해 서셉터(2)의 시계 회전 방향의 하류에 위치하는 볼록 형상부(4)와의 사이에 배치되어 있다. 이 구성에 의해, 배기구(62)는 실질적으로 제2 반응 가스 공급 노즐(32)로부터의 O3 가스만을 배기할 수 있다. 따라서, 이와 같이 구성되는 배기구(61, 62)는 분리 영역(D)이 BTBAS 가스와 O3 가스가 혼합되는 것을 방지하는 것을 보조할 수 있다.
본 실시 형태에서는, 2개의 배기구가 용기 본체(12)에 형성되어 있지만, 다른 실시 형태에서는 3개의 배기구가 형성되어도 좋다. 예를 들어, 제2 반응 가스 공급 노즐(32)과, 제2 반응 가스 공급 노즐(32)에 대해 서셉터(2)의 시계 회전 방향의 상류에 위치하는 분리 영역(D)과의 사이에 추가의 배기구를 형성해도 좋다. 또한, 추가의 배기구를 어딘가에 더 형성해도 좋다. 도시한 예에서는, 배기구(61, 62)는 서셉터(2)보다도 낮은 위치에 형성함으로써 진공 용기(1)의 내주벽과 서셉터(2)의 주연 사이의 간극으로부터 배기하도록 하고 있지만, 용기 본체(12)의 측벽에 형성해도 좋다. 또한, 배기구(61, 62)를 용기 본체(12)의 측벽에 형성하는 경 우, 배기구(61, 62)는 서셉터(2)보다도 높게 위치해도 좋다. 이 경우, 가스는 서셉터(2)의 표면을 따라서 흘러, 서셉터(2)의 표면보다 높게 위치하는 배기구(61, 62)로 유입된다. 따라서, 진공 용기(1) 내의 파티클이 불어 올려지지 않는다는 점에서, 배기구가, 예를 들어 천장판(11)에 형성된 경우에 비해, 유리하다.
도 7, 도 11 및 도 12에 도시한 바와 같이, 서셉터(2)와 용기 본체(12)의 저부(14) 사이의 공간에는 가열부로서의 환상의 히터 유닛(7)이 설치되고, 이에 의해, 서셉터(2) 상의 웨이퍼(W)가 서셉터(2)를 통해 프로세스 레시피에서 결정된 온도로 가열된다. 또한, 커버 부재(71)가 서셉터(2)의 하방에 있어서 서셉터(2)의 외주 근처에, 히터 유닛(7)을 둘러싸도록 설치되고, 히터 유닛(7)이 놓여져 있는 공간이 히터 유닛(7)의 외측의 영역으로부터 구획되어 있다. 커버 부재(71)는 상단부에 플랜지부(71a)를 갖고, 플랜지부(71a)는 커버 부재(71) 내로 가스가 유입되는 것을 방지하기 위해, 서셉터(2)의 하면과 플랜지부 사이에 약간의 간극이 유지되도록 배치된다.
다시 도 7을 참조하면, 저부(14)는 환상의 히터 유닛(7)의 내측에 융기부를 갖고 있다. 융기부의 상면은 서셉터(2) 및 코어부(21)에 접근되고 있고, 융기부의 상면과 서셉터(2) 사이 및 융기부의 상면과 코어부(21)의 이면 사이에 약간의 간극을 남기고 있다. 또한, 저부(14)는 회전축(22)이 빠져나가는 중심 구멍을 갖고 있다. 이 중심 구멍의 내경은 회전축(22)의 직경보다도 약간 커서, 플랜지부(20a)를 통해 케이스체(20)와 연통하는 간극을 남기고 있다. 퍼지 가스 공급관(72)이 플랜지부(20a)의 상부에 접속되어 있다. 또한, 히터 유닛(7)이 수용되는 영역을 퍼지 하기 위해, 복수의 퍼지 가스 공급관(73)이 소정의 각도 간격으로 히터 유닛(7)의 하방의 영역에 접속되어 있다.
이와 같은 구성에 의해, 회전축(22)과 저부(14)의 중심 구멍 사이의 간극, 코어부(21)와 저부(14)의 융기부 사이의 간극 및 저부(14)의 융기부와 서셉터(2)의 이면 사이의 간극을 통해, 퍼지 가스 공급관(72)으로부터 히터 유닛 공간으로 N2 퍼지 가스가 흐른다. 또한, 퍼지 가스 공급관(73)으로부터 히터 유닛(7)의 아래의 공간으로 N2 가스가 흐른다. 그리고, 이들 N2 퍼지 가스는 커버 부재(71)의 플랜지부(71a)와 서셉터(2)의 이면 사이의 간극을 통해 배기구(61)로 유입된다. N2 퍼지 가스의 이와 같은 흐름은, 도 13에 화살표로 나타내고 있다. N2 퍼지 가스는 제1(제2) 반응 가스가 서셉터(2)의 하방의 공간을 돌아 흘러가 제2(제1) 반응 가스와 혼합되는 것을 방지하는 분리 가스로서 작용한다.
도 13을 참조하면, 진공 용기(1)의 천장판(11)의 중심부에는 분리 가스 공급관(51)이 접속되고, 이에 의해, 천장판(11)과 코어부(21) 사이의 공간(52)에 분리 가스인 N2 가스가 공급된다. 이 공간(52)에 공급된 분리 가스는 돌출부(5)와 서셉터(2)의 좁은 간극(50)을 통해, 서셉터(2)의 표면을 따라서 흘러, 배기 영역(6)에 도달한다. 이 공간(52)과 간극(50)은 분리 가스가 가득 차 있으므로, 서셉터(2)의 중심부를 통해 반응 가스(BTBAS, O3)가 혼합되는 일이 없다. 즉, 본 실시 형태의 성막 장치(200)는 제1 처리 영역(P1)과 제2 처리 영역(P2)을 분리하기 위해 서셉 터(2)의 회전 중심부와 진공 용기(1)에 의해 구획하여, 분리 가스를 서셉터(2)의 상면을 향해 토출하는 토출 구멍을 갖도록 구성되는 중심 영역(C)이 형성되어 있다. 또한, 도시한 예에서는, 토출 구멍은 돌출부(5)와 서셉터(2)의 좁은 간극(50)에 상당한다.
또한, 본 실시 형태에 의한 성막 장치(200)에는 장치 전체의 동작의 컨트롤을 행하기 위한 제어부(100)가 설치되어 있다. 이 제어부(100)는, 예를 들어 컴퓨터로 구성되는 프로세스 컨트롤러(100a)와, 유저 인터페이스부(100b)와, 메모리 장치(100c)를 갖는다. 유저 인터페이스부(100b)는 성막 장치(200)의 동작 상황을 표시하는 디스플레이나, 성막 장치(200)의 조작자가 프로세스 레시피를 선택하거나, 프로세스 관리자가 프로세스 레시피의 파라미터를 변경하기 위한 키보드나 터치 패널(도시하지 않음) 등을 갖는다.
메모리 장치(100c)는 프로세스 컨트롤러(100a)에 다양한 프로세스를 실시시키는 제어 프로그램, 프로세스 레시피 및 각종 프로세스에 있어서의 파라미터 등을 기억하고 있다. 또한, 이들 프로그램은, 예를 들어 후술하는 동작을 행하게 하기 위한 스텝군을 갖고 있다. 이들의 제어 프로그램이나 프로세스 레시피는 유저 인터페이스부(100b)로부터의 지시에 따라서, 프로세스 컨트롤러(100a)에 의해 판독되어 실행된다. 또한, 이들 프로그램은 컴퓨터 판독 가능 기억 매체(100d)에 저장되고, 이들에 대응한 입출력 장치(도시하지 않음)를 통해 메모리 장치(100c)로 인스톨해도 좋다. 컴퓨터 판독 가능 기억 매체(100d)는 하드 디스크, CD, CD-R/RW, DVD-R/RW, 플렉시블 디스크, 반도체 메모리 등이라도 좋다. 또한, 프로그램은 통 신 회선을 통해 메모리 장치(100c)로 다운로드해도 좋다.
또한, 성막 장치(200)의 제어부(100)는 기판 위치 검출 장치(101)의 제어부(104a)와 신호를 송수신한다. 예를 들어, 성막 장치(200)의 제어부(100)는 기판 위치 검출 장치(101)의 제어부(104a)로부터 기판 위치 검출이 행해지고 있지 않은 웨이퍼(W)에 대한 문의를 나타내는 신호를 수신한 경우, 예를 들어 남은 웨이퍼(W)의 유무를 나타내는 신호를 기판 위치 검출 장치(101)의 제어부(104a)에 대해 송신한다. 또한, 기판 위치 검출 장치(101)의 제어부(104a)로부터, 웨이퍼(W)가 소정의 위치에 없는 것을 나타내는 신호를 수신한 경우, 성막 장치(200)의 제어부(100)는 성막 장치(200)의 동작을 정지하여, 성막 장치(200)를 대기 상태로 이행시킨다. 또한, 성막 장치(200)의 제어부(100)는 기판 위치 검출 장치(101)에 상술한 기판 위치 검출 방법을 실시시키는 프로그램이며 소정의 컴퓨터 판독 가능 기억 매체에 기억된 프로그램을 소정의 입출력 장치로부터 판독하고, 이 프로그램에 따라서, 기판 위치 검출 장치(101)의 제어부(104a)를 통해 기판 위치 검출 장치(101)에 기판 위치 검출 방법을 실시시켜도 좋다. 또한, 성막 장치(200)의 제어부(100)는 기판 위치 검출 장치(101)에 상술한 기판 위치 검출 방법을 실시시키는 프로그램을 소정의 컴퓨터 판독 가능 기억 매체로부터 판독하여, 기판 위치 검출 장치(101)의 제어부(104a)로 전송하는 것도 가능하다. 이 경우, 기판 위치 검출 장치(101)의 제어부(104a)가, 그 프로그램을 따라서 기판 위치 검출 장치(101)의 다양한 구성을 제어하여, 상술한 기판 위치 검출 방법이 실시된다.
다음에, 본 실시 형태의 성막 장치(200)의 동작(성막 방법)에 대해 설명한 다. 첫째로, 적재부(24)가 반송구(15)에 정렬되도록 서셉터(2)를 회전시켜, 게이트 밸브(도시하지 않음)를 개방한다. 둘째로, 반송 아암(10)에 의해 반송구(15)를 통해 웨이퍼(W)를 진공 용기(1)로 운반한다. 웨이퍼(W)는 승강 핀(16)에 의해 수취되어, 반송 아암(10)이 진공 용기(1)로부터 빼내진 후에, 승강 기구(도시하지 않음)에 의해 구동되는 승강 핀(16)에 의해 적재부(24)로 내려진다. 이에 의해, 웨이퍼(W)가 당해 적재부(24)로 적재된다.
계속해서, 서셉터(2)가 약 72° 회전하여, 웨이퍼(W)와, 웨이퍼(W)가 적재된 적재부(24)가 기판 위치 검출 장치(101)의 하방으로 위치된다. 그리고, 이 웨이퍼(W)에 대해, 상술한 기판 위치 검출 방법이 행해진다. 또한, 이 동안에, 반송 아암(10) 및 승강 핀(16)이 작동하여, 이 적재부(24)에 인접하여 반송구(15)에 면하는 적재부(24)로 웨이퍼(W)가 적재된다.
상기 일련의 동작이 5회 반복되어, 5매의 웨이퍼(W)가 서셉터(2) 상의 소정의 위치에 적재된 것이 확인된 후, 또는 소정의 위치에 없다고 판정된 웨이퍼(W)가 소정의 위치에 적재된 후, 진공 펌프(64)에 의해 진공 용기(1) 내가 미리 설정한 압력으로 진공화된다. 서셉터(2)가 상부에서 볼 때 시계 방향으로 회전을 개시한다. 서셉터(2)는 히터 유닛(7)에 의해 미리 소정의 온도(예를 들어 300℃)로 가열되어 있고, 웨이퍼(W)가 이 서셉터(2)에 적재됨으로써 가열된다. 웨이퍼(W)가 가열되어, 소정의 온도로 유지된 것이 온도 센서(도시하지 않음)에 의해 확인된 후, 제1 반응 가스(BTBAS)가 제1 반응 가스 공급 노즐(31)을 통해 제1 처리 영역으로 공급되고, 제2 반응 가스(O3)가 제2 반응 가스 공급 노즐(32)을 통해 제2 처리 영역(P2)으로 공급된다. 추가하여, 분리 가스(N2)가 공급된다.
웨이퍼(W)가 제1 반응 가스 공급 노즐(31)의 하방의 제1 처리 영역(P1)을 통과할 때에, 웨이퍼(W)의 표면에 BTBAS 분자가 흡착하고, 제2 반응 가스 공급 노즐(32)의 하방의 제2 처리 영역(P2)과 통과할 때에, 웨이퍼(W)의 표면에 O3 분자가 흡착되어, O3에 의해 BTBAS 분자가 산화된다. 따라서, 웨이퍼(W)가 서셉터(2)의 회전에 의해, 영역(P1, P2)의 양쪽을 1회 통과하면, 웨이퍼(W)의 표면에 산화실리콘의 1분자층이 형성된다. 계속해서, 웨이퍼(W)가 영역(P1, P2)을 교대로 복수회 통과하여, 소정의 막두께를 갖는 산화실리콘막이 웨이퍼(W)의 표면에 퇴적된다. 소정의 막두께를 갖는 산화실리콘막이 퇴적된 후, BTBAS 가스와 오존 가스를 정지하고, 서셉터(2)의 회전을 정지한다. 그리고, 웨이퍼(W)는 반입 동작과 역의 동작에 의해 순차적으로 반송 아암(10)에 의해 진공 용기(1)로부터 반출된다. 또한, 필요에 따라서, 반출 전에 상술한 기판 위치 검출 방법을 행해도 좋다.
또한, 상기한 성막 동작 중, 분리 가스 공급관(51)으로부터도 분리 가스인 N2 가스가 공급되고, 이에 의해 중심 영역(C)으로부터, 즉 돌출부(5)와 서셉터(2) 사이의 간극(50)으로부터 서셉터(2)의 표면을 따라서 N2 가스가 토출된다. 본 실시 형태에서는 제2 천장면(45)의 아래의 공간이며 반응 가스 공급 노즐[31(32)]이 배치되어 있는 공간은 중심 영역(C) 및 제1 천장면(44)과 서셉터(2) 사이의 협애한 공간보다도 낮은 압력을 갖고 있다. 이는, 천장면(45)의 아래의 공간에 인접하여 배기 영역(6)이 형성되고, 그 공간은 배기 영역(6)을 통해 직접적으로 배기되기 때문이다. 또한, 협애한 공간이 반응 가스 공급 노즐[31(32)]이 배치되어 있는 공간, 또는 제1(제2) 처리 영역[P1(P2)]과 협애한 공간 사이의 압력차가 높이(h)에 의해 유지될 수 있도록 형성되어 있기 때문이기도 하다.
다음에, 가스 노즐(31, 32, 41, 42)로부터 진공 용기(1) 내로 공급된 가스의 플로우 패턴을 도 15를 참조하면서 설명한다. 도 15는 플로우 패턴을 모식적으로 도시하는 도면이다. 도시한 바와 같이, 제2 반응 가스 공급 노즐(32)로부터 토출된 O3 가스의 일부는 서셉터(2)의 표면[및 웨이퍼(W)의 표면]에 부딪쳐, 그 표면을 따라서 서셉터(2)의 회전 방향과 역의 방향으로 흐른다. 계속해서, 이 O3 가스는 서셉터(2)의 회전 방향의 상류측으로부터 흘러 온 N2 가스에 되밀려져, 서셉터(2)의 주연과 진공 용기(1)의 내주벽측으로 방향을 바꾼다. 마지막으로, O3 가스는 배기 영역(6)으로 유입되어, 배기구(62)를 통해 진공 용기(1)로부터 배기된다.
제2 반응 가스 공급 노즐(32)로부터 토출된 O3 가스의 다른 부분은 서셉터(2)의 표면[및 웨이퍼(W)의 표면]에 부딪쳐, 그 표면을 따라서 서셉터(2)의 회전 방향과 동일한 방향으로 흐른다. 이 부분의 O3 가스는, 주로 중심 영역(C)으로부터 흐르는 N2 가스와 배기구(62)를 통한 흡인력에 의해, 배기 영역(6)을 향해 흐른다. 한편, 이 부분의 O3 가스의 소량 부분이, 제2 반응 가스 공급 노즐(32)에 대해 서셉 터(2)의 회전 방향의 하류측에 위치하는 분리 영역(D)을 향해 흘러, 천장면(44)과 서셉터(2) 사이의 간극으로 들어갈 가능성이 있다. 그러나, 그 간극의 높이(h)가 의도한 성막 조건 하에서 당해 간극으로의 유입을 저지할 정도의 높이로 설정되어 있으므로, O3 가스는 그 간극으로 들어가는 것이 저지된다. 가령, 소량의 O3 가스가 그 간극으로 유입되었다고 해도, 그 O3 가스는 분리 영역(D)의 안측까지 흐를 수 없다. 간극으로 유입된 소량의 O3 가스는 분리 가스 공급 노즐(41)로부터 토출된 분리 가스에 의해 되밀린다. 따라서, 도 15에 도시한 바와 같이, 서셉터(2)의 상면을 회전 방향을 따라서 흐르는 실질적으로 모든 O3 가스가, 배기 영역(6)으로 흘러 배기구(62)에 의해 배기된다.
마찬가지로, 제1 반응 가스 공급 노즐(31)로부터 토출되어, 서셉터(2)의 회전 방향과 반대의 방향으로 서셉터(2)의 표면을 따라서 흐르는 일부의 BTBAS 가스는 제1 반응 가스 공급 노즐(31)에 대해 회전 방향 상류측에 위치하는 볼록 형상부(4)의 천장면(44)과 서셉터(2) 사이의 간극으로 유입되는 것이 방지된다. 가령 소량의 BTBAS 가스가 유입되었다고 해도, 분리 가스 공급 노즐(41)로부터 토출되는 N2 가스에 의해 되밀린다. 되밀린 BTBAS 가스는 분리 가스 공급 노즐(41)로부터의 N2 가스와 중심 영역(C)으로부터 토출되고 있는 N2 가스와 함께, 서셉터(2)의 외주연과 진공 용기(1)의 내주벽을 향해 흘러, 배기 영역(6)을 통해 배기구(61)를 통해 배기된다.
제1 반응 가스 공급 노즐(31)로부터 하방측으로 토출되어, 서셉터(2)의 회전 방향과 동일 방향으로 서셉터(2)의 표면[및 웨이퍼(W)의 표면]을 따라서 흐르는 다른 부분의 BTBAS 가스는 제1 반응 가스 공급 노즐(31)에 대해 회전 방향 하류측에 위치하는 볼록 형상부(4)의 천장면(44)과 서셉터(2) 사이로 유입할 수 없다. 가령 소량의 BTBAS 가스가 유입되었다고 해도, 분리 가스 공급 노즐(42)로부터 토출되는 N2 가스에 의해 되밀린다. 되밀린 BTBAS 가스는 분리 영역(D)의 분리 가스 공급 노즐(42)로부터의 N2 가스와 중심 영역(C)으로부터 토출되고 있는 N2 가스와 함께, 배기 영역(6)을 향해 흘러, 배기구(61)에 의해 배기된다.
상술한 바와 같이, 분리 영역(D)은 BTBAS 가스나 O3 가스가 분리 영역(D)으로 유입되는 것을 방지하거나, 분리 영역(D)으로 유입되는 BTBAS 가스나 O3 가스의 양을 충분히 저감시키거나 또는, BTBAS 가스나 O3 가스를 되밀 수 있다. 웨이퍼(W)에 흡착한 BTBAS 분자와 O3 분자는 분리 영역(D)을 빠져 나가는 것이 허용되어, 막의 퇴적에 기여한다.
또한, 도 13 및 도 15에 도시한 바와 같이, 중심 영역(C)으로부터는 분리 가스가 서셉터(2)의 외주연을 향해 토출되고 있으므로, 제1 처리 영역(P1)의 BTBAS 가스[제2 처리 영역(P2)의 O3 가스]는 중심 영역(C)으로 유입될 수 없다. 가령, 제1 처리 영역(P1)의 소량의 BTBAS[제2 처리 영역(P2)의 O3 가스]가 중심 영역(C)으로 유입되었다고 해도, 그 BTBAS 가스(O3 가스)는 N2 가스에 의해 되밀려, 제1 처리 영역(P1)의 BTBAS 가스[제2 처리 영역(P2)의 O3 가스]가 중심 영역(C)을 통해 제2 처리 영역(P2)[제1 처리 영역(P1)]으로 유입되는 것이 저지된다.
또한, 제1 처리 영역(P1)의 BTBAS 가스[제2 처리 영역(P2)의 O3 가스]는 서셉터(2)와 용기 본체(12)의 내주벽과의 사이의 공간을 통해 제2 처리 영역(P2)[제1 처리 영역(P1)]으로 유입되는 것도 저지된다. 이는, 굴곡부(46)가 볼록 형상부(4)로부터 하향으로 형성되고, 굴곡부(46)와 서셉터(2)의 간극 및 굴곡부(46)와 용기 본체(12)의 내주벽과의 사이의 간극이, 볼록 형상부(4)의 천장면(44)의 서셉터(2)로부터의 높이(h)와 동일할 정도로 작으므로, 2개의 처리 영역 사이의 연통을 실질적으로 회피하고 있기 때문이다. 따라서, BTBAS 가스는 배기구(61)로부터 배기되고, O3 가스는 배기구(62)로부터 배기되어, 이들 2개의 반응 가스가 혼합되는 일은 없다. 또한, 서셉터(2)의 하방의 공간은 퍼지 가스 공급관(72, 73)으로부터 공급되는 N2 가스에 의해 퍼지되어 있다. 따라서, BTBAS 가스는 서셉터(2)의 하방을 통해 프로세스 영역(P2)으로 유입될 수는 없다.
본 실시 형태에 의한 성막 장치(200)에 있어서의 적합한 프로세스 파라미터를 이하에 게재한다.
ㆍ 서셉터(2)의 회전 속도 : 1-500rpm[웨이퍼(W)의 직경이 300㎜인 경우]
ㆍ 진공 용기(1)의 압력 : 1067㎩(8Torr)
ㆍ 웨이퍼 온도 : 350℃
ㆍ BTBAS 가스의 유량 : 100sccm
ㆍ O3 가스의 유량 : 10000sccm
ㆍ 분리 가스 공급 노즐(41, 42)로부터의 N2 가스의 유량 : 20000sccm
ㆍ 분리 가스 공급관(51)으로부터의 N2 가스의 유량 : 5000sccm
ㆍ 서셉터(2)의 회전수 : 600 회전(필요한 막두께에 의함)
본 실시 형태에 의한 성막 장치(200)에 따르면, 성막 장치(200)가, BTBAS 가스가 공급되는 제1 처리 영역과, O3 가스가 공급되는 제2 처리 영역 사이에, 낮은 천장면(44)을 포함하는 분리 영역(D)을 갖고 있으므로, BTBAS 가스(O3 가스)가 제2 처리 영역(P2)[제1 처리 영역(P1)]으로 유입되는 것이 방지되어, O3 가스(BTBAS 가스)와 혼합되는 것이 방지된다. 따라서, 웨이퍼(W)가 적재된 서셉터(2)를 회전시켜, 웨이퍼(W)를 제1 처리 영역(P1), 분리 영역(D), 제2 처리 영역(P2) 및 분리 영역(D)을 통과시킴으로써, 산화실리콘막의 분자층 성막이 확실하게 실시된다. 또한, BTBAS 가스(O3 가스)가 제2 처리 영역(P2)[제1 처리 영역(P1)]으로 유입되어 O3 가스(BTBAS 가스)와 혼합되는 것을 더욱 확실하게 방지하기 위해, 분리 영역(D)은 N2 가스를 토출하는 분리 가스 공급 노즐(41, 42)을 더 포함한다. 또한, 본 실시 형태에 의한 성막 장치(200)의 진공 용기(1)는 N2 가스가 토출되는 토출 구멍을 갖 는 중심 영역(C)을 갖고 있으므로, 중심 영역(C)을 통해 BTBAS 가스(O3 가스)가 제2 처리 영역(P2)[제1 처리 영역(P1)]으로 유입되어 O3 가스(BTBAS 가스)와 혼합되는 것을 방지할 수 있다. 또한, BTBAS 가스와 O3 가스가 혼합되지 않으므로, 서셉터(2)로의 산화실리콘의 퇴적이 거의 발생하지 않고, 따라서 파티클의 문제를 저감시킬 수 있다.
또한, 본 실시 형태에 의한 성막 장치(200)에 있어서는, 서셉터(2)는 5개의 적재부(24)를 갖고, 대응하는 5개의 적재부(24)에 적재된 5매의 웨이퍼(W)를 1회의 런으로 처리할 수 있지만, 5개의 적재부(24) 중 하나에 1매의 웨이퍼(W)를 적재해도 좋고, 서셉터(2)에 적재부(24)를 하나만 형성해도 좋다.
또한, 산화실리콘막의 분자층 성막으로 한정되지 않고, 성막 장치(200)에 의해 질화실리콘막의 분자층 성막을 행할 수도 있다. 질화실리콘막의 분자층 성막을 위한 질화가스로서는, 암모니아(NH3)나 히드라진(N2H2) 등을 이용할 수 있다.
또한, 산화실리콘막이나 질화실리콘막의 분자층 성막을 위한 원료 가스로서는, BTBAS로 한정되지 않고, 디클로로실란(DCS), 헥사클로로디실란(HCD), 트리스디메틸아미노실란(3DMAS), 테트라에톡시실란(TEOS) 등을 이용할 수 있다.
또한, 본 발명의 실시 형태에 의한 성막 장치 및 성막 방법에 있어서는, 산화실리콘막이나 질화실리콘막으로 한정되지 않고, 트리메틸알루미늄(TMA)과 O3 또는 산소 플라즈마를 사용한 산화알루미늄(Al2O3)의 분자층 성막, 테트라키스에틸메틸아 미노지르코늄(TEMAZ)과 O3 또는 산소 플라즈마를 사용한 산화지르코늄(ZrO2)의 분자층 성막, 테트라키스에틸메틸아미노하프늄(TEMAHf)과 O3 또는 산소 플라즈마를 사용한 산화하프늄(HfO2)의 분자층 성막, 스트론튬비스테트라메틸헵탄디오나토[Sr(THD)2]와 O3 또는 산소 플라즈마를 사용한 산화스트론튬(SrO)의 분자층 성막, 티타늄메틸펜탄디오나토비스테트라메틸헵탄디오나토[Ti(MPD)(THD)]와 O3 또는 산소 플라즈마를 사용한 산화티타늄(TiO)의 분자층 성막 등을 행할 수 있다.
서셉터(2)의 외주연에 가까울수록 큰 원심력이 작용하므로, 예를 들어 BTBAS 가스는 서셉터(2)의 외주연에 가까운 부분에 있어서, 큰 속도로 분리 영역(D)을 향한다. 따라서, 서셉터(2)의 외주연에 가까운 부분에서는 천장면(44)과 서셉터(2) 사이의 간극에 BTBAS 가스가 유입될 가능성이 높다. 따라서, 볼록 형상부(4)의 폭(회전 방향을 따른 길이)을 외주연을 향할수록 넓게 하면, BTBAS 가스가 그 간극으로 들어가기 어렵게 할 수 있다. 이 관점으로부터는, 본 실시 형태에 있어서 상술한 바와 같이, 볼록 형상부(4)가 부채형의 상면 형상을 가지면 바람직하다.
이하에, 볼록 형상부(4)[또는 천장면(44)(도 11)]의 사이즈를 다시 예시한다. 도 16의 (a) 및 도 16의 (b)를 참조하면, 분리 가스 공급 노즐[41(42)]의 양측에 협애한 공간을 형성하는 볼록 형상부(4)는 웨이퍼 중심(WO)이 지나는 경로에 대응하는 원호의 길이(L)로서 웨이퍼(W)의 직경의 약 1/10 내지 약 1/1의 길이이면 좋고, 약 1/6 이상이면 바람직하다. 구체적으로는, 웨이퍼(W)가 300㎜의 직경을 갖고 있는 경우, 이 길이(L)는 약 50㎜ 이상이 바람직하다. 이 길이(L)가 짧은 경우, 천장면(44)(도 11)과 서셉터(2) 사이의 협애한 공간의 높이(h)는 반응 가스가 협애한 공간으로 유입되는 것을 효과적으로 방지하기 위해, 낮게 해야만 한다. 그러나, 길이(L)가 지나치게 짧아지고, 높이(h)가 극단적으로 낮아지면, 서셉터(2)가 천장면(44)에 충돌하고, 파티클이 발생하여 웨이퍼의 오염이 발생하거나, 웨이퍼가 파손될 가능성이 있다. 따라서, 서셉터(2)가 천장면(44)에 충돌하는 것을 피하기 위해, 서셉터(2)의 진동을 억제하거나, 또는 서셉터(2)를 안정적으로 회전시키기 위한 방책이 필요해진다. 한편, 길이(L)를 짧게 한 채로 협애한 공간의 높이(h)를 비교적 크게 유지하는 경우에는, 천장면(44)과 서셉터(2) 사이의 협애한 공간으로 반응 가스가 유입되는 것을 방지하기 위해, 서셉터(2)의 회전 속도를 낮게 해야만 해, 제조 처리량의 점에서 오히려 불리해진다. 이들의 고찰로부터, 웨이퍼 중심(WO)의 경로에 대응하는 원호에 따른, 천장면(44)의 길이(L)는 약 50㎜ 이상이 바람직하다. 그러나, 볼록 형상부(4) 또는 천장면(44)의 사이즈는 상기한 사이즈로 한정되지 않고, 사용되는 프로세스 파라미터나 웨이퍼 사이즈에 따라서 조정해도 좋다. 또한, 협애한 공간이, 분리 영역(D)으로부터 처리 영역[P1(P2)]으로의 분리 가스의 흐름이 형성될 정도의 높이를 갖고 있는 한에 있어서, 상술한 설명으로부터 명백해진 바와 같이, 협애한 공간의 높이(h)도 또한, 사용되는 프로세스 파라미터나 웨이퍼 사이즈에 추가하여, 예를 들어 천장면(44)의 면적을 따라서 조정해도 좋다.
또한, 상기한 실시 형태에 있어서는, 볼록 형상부(4)에 형성된 홈부(43)에 분리 가스 공급 노즐[41(42)]이 배치되고, 분리 가스 공급 노즐[41(42)]의 양측에 낮은 천장면(44)이 배치되어 있다. 그러나, 다른 실시 형태에 있어서는, 분리 가스 공급 노즐(41) 대신에, 도 17에 도시한 바와 같이 볼록 형상부(4)의 내부에 있어서 서셉터(2)의 직경 방향으로 신장되는 유로(47)를 형성하고, 이 유로(47)의 길이 방향을 따라서 복수의 가스 토출 구멍(40)을 형성하고, 이들 가스 토출 구멍(40)으로부터 분리 가스(N2 가스)를 토출하도록 해도 좋다.
분리 영역(D)의 천장면(44)은 평탄면으로 한정되는 것이 아니라, 도 18의 (a)에 도시한 바와 같이 오목면 형상으로 만곡시켜도 좋고, 도 18의 (b)에 도시한 바와 같이 볼록면 형상으로 해도 좋고, 또한 도 18의 (c)에 도시한 바와 같이 파형 형상으로 구성해도 좋다.
또한, 볼록 형상부(4)는 중공이라도 좋고, 중공 내에 분리 가스를 도입하도록 구성해도 좋다. 이 경우, 복수의 가스 토출 구멍(33)을, 도 19의 (a)로부터 도 19의 (c)에 도시한 바와 같이 배열해도 좋다.
도 19의 (a)를 참조하면, 복수의 가스 토출 구멍(33)은 각각 경사진 슬릿의 형상을 갖고 있다. 이들 경사 슬릿[복수의 가스 토출 구멍(33)]은 서셉터(2)의 반경 방향을 따라서 인접하는 슬릿과 부분적으로 오버랩되어 있다. 도 19의 (b)에서는, 복수의 가스 토출 구멍(33)은 각각 원형이다. 이들 원형의 구멍[복수의 가스 토출 구멍(33)]은 전체적으로 서셉터(2)의 반경 방향을 따라서 신장되는 구부러진 선을 따라서 배치되어 있다. 도 19의 (c)에서는, 복수의 가스 토출 구멍(33)은 각 각 원호 형상의 슬릿의 형상을 갖고 있다. 이들 원호 형상 슬릿[복수의 가스 토출 구멍(33)]은 서셉터(2)의 반경 방향으로 소정의 간격으로 배치되어 있다.
또한, 본 실시 형태에서는, 볼록 형상부(4)는 대략 부채형의 상면 형상을 갖지만, 다른 실시 형태에서는, 도 20의 (a)에 도시하는 직사각형, 또는 정사각형의 상면 형상을 가져도 좋다. 또한, 볼록 형상부(4)는, 도 20의 (b)에 도시한 바와 같이 상면은 전체적으로 부채형이고, 오목 형상으로 만곡된 측면(4Sc)을 갖고 있어도 좋다. 추가하여, 볼록 형상부(4)는, 도 20의 (c)에 도시한 바와 같이, 상면은 전체적으로 부채형이고, 볼록 형상으로 만곡된 측면(4Sv)을 갖고 있어도 좋다. 또한, 도 20의 (d)에 도시한 바와 같이, 볼록 형상부(4)의 서셉터(2)(도 7)의 회전 방향(d)의 상류측의 부분이 오목 형상의 측면(4Sc)을 갖고, 볼록 형상부(4)의 서셉터(2)(도 7)의 회전 방향(d)의 하류측의 부분이 평면 형상의 측면(4Sf)을 갖고 있어도 상관없다. 또한, 도 20의 (a)로부터 도 20의 (d)에 있어서, 점선은 볼록 형상부(4)에 형성된 홈부(43)[도 10의 (a), 도 10의 (b)]를 도시하고 있다. 이들의 경우, 홈부(43)에 수용되는 분리 가스 공급 노즐[41(42)](도 8)은 진공 용기(1)의 중앙부, 예를 들어 돌출부(5)(도 7)로부터 신장된다.
웨이퍼를 가열하기 위한 히터 유닛(7)은 저항 발열체 대신에, 가열 램프를 갖고 구성되어도 좋다. 또한, 히터 유닛(7)은 서셉터(2)의 하방측에 설치하는 대신에, 서셉터(2)의 상방측에 설치해도 좋고, 상하 양측에 설치해도 좋다.
처리 영역(P1, P2) 및 분리 영역(D)은, 다른 실시 형태에 있어서는 도 21에 도시한 바와 같이 배치되어도 좋다. 도 21을 참조하면, 제2 반응 가스(예를 들어, O3 가스)를 공급하는 제2 반응 가스 공급 노즐(32)이, 반송구(15)보다도 서셉터(2)의 회전 방향 상류측이며, 반송구(15)와 분리 가스 공급 노즐(42) 사이에 설치되어 있다. 이와 같은 배치라도, 각 노즐 및 중심 영역(C)으로부터 토출되는 가스는 대략 도 21에 있어서 화살표로 나타내는 바와 같이 흘러, 양 반응 가스의 혼합이 방지된다. 따라서, 이와 같은 배치라도, 적절한 분자층 성막을 실현할 수 있다.
또한, 이미 서술한 바와 같이, 2매의 부채형 플레이트가 분리 가스 공급 노즐[41(42)]의 양측에 위치되도록, 천장판(11)의 하면에 나사로 설치함으로써, 분리 영역(D)을 구성해도 좋다. 도 22는 이와 같은 구성을 도시하는 평면도이다. 이 경우, 볼록 형상부(4)와 분리 가스 공급 노즐[41(42)] 사이의 거리나, 볼록 형상부(4)의 사이즈는 분리 영역(D)의 분리 작용을 효율적으로 발휘하기 위해, 분리 가스나 반응 가스의 토출 레이트를 고려하여 결정해도 좋다.
상술한 실시 형태에서는, 제1 처리 영역(P1) 및 제2 처리 영역(P2)은 분리 영역(D)의 천장면(44)보다도 높은 천장면(45)을 갖는 영역에 상당하고 있다. 그러나, 제1 처리 영역(P1) 및 제2 처리 영역(P2)의 적어도 한쪽은 반응 가스 공급 노즐[31(32)]의 양측에서 서셉터(2)에 대향하고, 천장면(45)보다도 낮은 다른 천장면을 가져도 좋다. 당해 천장면과 서셉터(2) 사이의 간극으로 가스가 유입되는 것을 방지하기 위해서이다. 이 천장면은 천장면(45)보다도 낮고, 분리 영역(D)의 천장면(44)과 동일한 정도로 낮아도 좋다. 도 23은 그와 같은 구성의 일례를 도시하고 있다. 도시한 바와 같이, 부채 형상의 볼록 형상부(30)는 O3 가스가 공급되는 제2 처리 영역(P2)에 배치되고, 반응 가스 공급 노즐(32)이 볼록 형상부(30)에 형성된 홈부(도시하지 않음)에 배치되어 있다. 환언하면, 이 제2 처리 영역(P2)은 가스 노즐이 반응 가스를 공급하기 위해 사용되지만, 분리 영역(D)과 마찬가지로 구성되어 있다. 또한, 볼록 형상부(30)는 도 19의 (a) 내지 도 19의 (c)에 일례를 도시하는 중공의 볼록 형상부와 마찬가지로 구성되어도 좋다.
또한, 분리 가스 공급 노즐[41(42)]의 양측에 협애한 공간을 형성하기 위해 낮은 천장면(제1 천장면)(44)이 설치되는 한에 있어서, 다른 실시 형태에서는 상술한 천장면, 즉 천장면(45)보다 낮고, 분리 영역(D)의 천장면(44)과 동일한 정도로 낮은 천장면이, 반응 가스 공급 노즐(31, 32)의 양쪽에 설치되어, 천장면(44)에 도달할 때까지 연장되어 있어도 좋다. 환언하면, 볼록 형상부(4) 대신에, 다른 볼록 형상부(400)가 천장판(11)의 하면에 설치되어 있어도 좋다. 도 24를 참조하면, 볼록 형상부(400)는 대략 원반 형상의 형상을 갖고, 서셉터(2)의 상면의 대략 전체와 대향하여, 가스 노즐(31, 32, 41, 42)이 각각 수용되어 반경 방향으로 연장되는 4개의 슬롯(400a)을 갖고, 또한 볼록 형상부(400)의 아래에, 서셉터(2)로 하는 협애한 공간을 남기고 있다. 그 협애한 공간의 높이는 상술한 높이(h)와 동일한 정도라도 좋다. 볼록 형상부(400)를 사용하면, 반응 가스 공급 노즐[31(32)]로부터 토출된 반응 가스는 볼록 형상부(400)의 아래에서(또는 협애한 공간에 있어서) 반응 가스 공급 노즐[31(32)]의 양측으로 확산되고, 분리 가스 공급 노즐[41(42)]로부터 토출된 분리 가스는 볼록 형상부(400)의 아래에서(또는 협애한 공간에 있어서) 분리 가스 공급 노즐[41(42)]의 양측으로 확산된다. 이 반응 가스와 분리 가스는 협 애한 공간에 있어서 합류하여, 배기구[61(62)]를 통해 배기된다. 이 경우라도, 반응 가스 공급 노즐(31)로부터 토출된 반응 가스는 반응 가스 공급 노즐(32)로부터 토출된 반응 가스와 혼합되지 않고, 적절한 분자층 성막을 실현할 수 있다.
또한, 볼록 형상부(400)를, 도 19의 (a) 내지 도 19의 (c) 중 어느 하나에 도시하는 중공의 볼록 형상부(4)를 조합함으로써 구성하고, 가스 노즐(31, 32, 33, 34) 및 슬릿(400a)을 사용하지 않고, 반응 가스 및 분리 가스를, 대응하는 중공 볼록 형상부(4)의 토출 구멍(33)으로부터 각각 가스를 토출하도록 해도 좋다.
또한, 볼록 형상부(400)를, 예를 들어 석영으로 제작하면 바람직하다. 이와 같이 하면, 볼록 형상부(400)를 통해, 기판 위치 검출 장치(101)에 의해, 웨이퍼(W)의 위치를 검출하는 것이 가능해진다.
상기한 실시 형태에서는, 서셉터(2)를 회전시키는 회전축(22)은 진공 용기(1)의 중앙부에 위치하고 있다. 또한, 코어부(21)와 천장판(11) 사이의 공간(52)은 반응 가스가 중앙부를 통해 혼합되는 것을 방지하기 위해, 분리 가스로 퍼지되어 있다. 그러나, 진공 용기(1)는 다른 실시 형태에 있어서 도 25와 같이 구성되어도 좋다. 도 25를 참조하면, 용기 본체(12)의 저부(14)는 중앙 개구를 갖고, 여기에는 수용 케이스(80)가 기밀하게 설치되어 있다. 또한, 천장판(11)은 중앙 오목부(80a)를 갖고 있다. 지주(81)가 수용 케이스(80)의 저면에 적재되고, 지주(81)의 형상 단부는 중앙 오목부(80a)의 저면까지 도달하고 있다. 지주(81)는 제1 반응 가스 공급 노즐(31)로부터 토출되는 제1 반응 가스(BTBAS)와 제2 반응 가 스 공급 노즐(32)로부터 토출되는 제2 반응 가스(O3)가 진공 용기(1)의 중앙부를 통해 서로 혼합되는 것을 방지한다.
또한, 천장판(11)에는, 예를 들어 석영 유리를 사용하여 제작되는 뷰포트(201)가, O링 등의 도시하지 않은 밀봉 부재에 의해 진공 용기(1)에 대해 기밀하게 설치되어 있다. 천장판(11)의 상면에는 뷰포트(201)에 대해 창(102a)이 마주 보도록, 기판 위치 검출 장치(101)가 착탈 가능하게 설치되어 있다. 기판 위치 검출 장치(101)의 구성은 상술한 바와 같다. 기판 위치 검출 장치(101)를 사용하여, 본 발명의 실시 형태에 의한 상술한 기판 위치 검출 방법을 실시함으로써, 성막 장치(200) 내의 서셉터(2)(후술)에 적재되는 웨이퍼(W)(도 7)의 위치를 검출할 수 있다.
또한, 회전 슬리브(82)가 지주(81)를 동축 형상으로 둘러싸도록 설치되어 있다. 회전 슬리브(82)는 지주(81)의 외면에 설치된 베어링(86, 88)과, 수용 케이스(80)의 내측면에 설치된 베어링(87)에 의해 지지되어 있다. 또한, 회전 슬리브(82)는 그 외면에 기어부(85)가 설치되어 있다. 또한, 환상의 서셉터(2)의 내주면이 회전 슬리브(82)의 외면에 설치되어 있다. 구동부(83)가 수용 케이스(80)에 수용되어 있고, 구동부(83)로부터 연장되는 샤프트에 기어(84)가 설치되어 있다. 기어(84)는 기어부(85)와 맞물린다. 이와 같은 구성에 의해, 회전 슬리브(82), 나아가서는 서셉터(2)가 구동부(83)에 의해 회전된다.
퍼지 가스 공급관(74)이 수용 케이스(80)의 바닥에 접속되어, 수용 케이 스(80)로 퍼지 가스가 공급된다. 이에 의해, 반응 가스가 수용 케이스(80) 내로 유입되는 것을 방지하기 위해, 수용 케이스(80)의 내부 공간을 진공 용기(1)의 내부 공간보다도 높은 압력으로 유지할 수 있다. 따라서, 수용 케이스(80) 내에서의 성막이 일어나지 않아, 메인터넌스의 빈도를 저감시킬 수 있다. 또한, 퍼지 가스 공급관(75)이 진공 용기(1)의 상부 외면으로부터 오목부(80a)의 내벽까지 이르는 도관(75a)에 각각 접속되어, 회전 슬리브(82)의 상단부를 향해 퍼지 가스가 공급된다. 이 퍼지 가스로 인해, BTBAS 가스와 O3 가스는 오목부(80a)의 내벽과 회전 슬리브(82)의 외면 사이의 공간을 통해 혼합할 수 없다. 도 25에는 2개의 퍼지 가스 공급관(75)과 도관(75a)이 도시되어 있지만, 공급관(75)과 도관(75a)의 수는 BTBAS 가스와 O3 가스의 혼합이 오목부(80a)의 내벽과 회전 슬리브(82)의 외면 사이의 공간 근방에 있어서 확실하게 방지되도록 결정되어도 좋다.
도 25의 실시 형태에서는, 오목부(80a)의 측면과 회전 슬리브(82)의 상단부 사이의 공간은 분리 가스를 토출하는 토출 구멍에 상당하고, 그리고 이 분리 가스 토출 구멍, 회전 슬리브(82) 및 지주(81)에 의해, 진공 용기(1)의 중심부에 위치하는 중심 영역이 구성된다.
본 발명의 실시 형태에 의한 성막 장치(200)에 있어서는, 2종류의 반응 가스를 사용하는 것으로 한정되지 않고, 3종류 이상의 반응 가스를 순서대로 기판 상에 공급해도 좋다. 그 경우에는, 예를 들어 제1 반응 가스 공급 노즐, 분리 가스 공급 노즐, 제2 반응 가스 공급 노즐, 분리 가스 공급 노즐, 제3 반응 가스 공급 노 즐 및 분리 가스 공급 노즐의 순서로 진공 용기(1)의 둘레 방향으로 각 가스 노즐을 배치하여, 각 분리 가스 공급 노즐을 포함하는 분리 영역을 상술한 실시 형태와 같이 구성하면 된다.
이상에서 설명한 본 발명의 실시 형태에 의한 성막 장치(200)에 따르면, 상술한 본 발명의 실시 형태에 의한 기판 위치 검출 장치를 구비하고 있으므로, 검출 오차가 저감되는 일 없이, 웨이퍼(W)의 위치를 검출할 수 있다.
본 발명의 실시 형태에 의한 성막 장치는 기판 처리 장치에 세트할 수 있고, 그 일례가 도 26에 모식적으로 도시되어 있다. 기판 처리 장치는 반송 아암(103)이 설치된 대기 반송실(202)과, 분위기를 진공과 대기압 사이에서 절환 가능한 로드 로크실(준비실)(105)과, 2개의 반송 아암(107a, 107b)이 설치된 진공 반송실(206)과, 본 발명의 실시 형태에 관한 성막 장치(208, 209)를 포함한다. 또한, 이 처리 장치는, 예를 들어 FOUP 등의 웨이퍼 카세트(101)가 적재되는 카세트 스테이지(도시하지 않음)를 포함하고 있다. 웨이퍼 카세트(101)는 카세트 스테이지의 하나로 운반되고, 카세트 스테이지와 대기 반송실(202) 사이의 반입출 포트에 접속된다. 계속해서, 개폐 기구(도시하지 않음)에 의해 웨이퍼 카세트(FOUP)(101)의 덮개가 개방되어, 반송 아암(103)에 의해 웨이퍼 카세트(101)로부터 웨이퍼가 취출된다. 다음에, 웨이퍼는 로드 로크실[204(105)]로 반송된다. 로드 로크실[204(105)]이 배기된 후, 로드 로크실[204(105)] 내의 웨이퍼는 반송 아암[107a(107b)]에 의해, 진공 반송실(206)을 통해 성막 장치(208, 209)로 반송된다. 성막 장치(208, 209)에서는 상술한 방법으로 웨이퍼 상에 막이 퇴적된다. 기 판 처리 장치는 동시에 5매의 웨이퍼를 수용가능한 2개의 성막 장치(208, 209)를 갖고 있으므로, 높은 처리량으로 분자층 성막을 행할 수 있다.
이상, 몇개의 실시 형태를 참조하면서 본 발명을 설명하였지만, 본 발명은 개시된 실시 형태로 한정되는 것이 아니라, 첨부한 특허청구의 범위에 비추어 다양한 변형이나 변경이 가능하다.
예를 들어, 본 발명의 실시 형태에 의한 기판 위치 검출 장치 및 이것을 사용한 기판 위치 검출 방법은, 각종 반도체 제조 장치에 있어서 웨이퍼가 적재되는 서셉터의 원점 위치(초기 위치)의 조정에 이용하기 위해 변형해도 좋다. 이하, 도 27 내지 도 29를 참조하면서, 원점 위치의 조정에 대해 설명한다.
도 27은 도 1에 도시하는 성막 장치(200)의 서셉터 회전 기구를 확대하여 도시하는 개략도이다. 도시한 바와 같이, 본 발명의 실시 형태에 의한 기판 위치 검출 장치(101)(도 1)가 배치되는 성막 장치(200)는 서셉터(2)의 이면 중앙부에 접속되는 회전축(22)과, 회전축(22)에 접속되어, 회전축(22)을 통해 서셉터(2)를 회전시키는 구동부(23)와, 회전축(22) 및 구동부(23)를 챔버(12)에 대해 밀봉하는 케이스체(20)를 갖고 있다. 또한, 회전축(22)과 챔버(12) 사이에는 자성 유체를 이용한 시일 부재(22a)가 배치되고, 이에 의해, 케이스체(20) 내의 분위기가 챔버(12) 내의 분위기로부터 분리되어 있다. 케이스체(20)의 내벽면에는 고정자로서의 포토 센서(P)가 설치되어 있다. 포토 센서(P)는 상편부(P1)와, 하편부(P2)와, 상편부(P1) 및 하편부(P2)를 결합하는 중간부(P3)를 갖는 역ㄷ자형의 형상을 갖고 있고, 상편부(P1)의 하면에는, 하향으로 광을 발하는 발광 소자(PL)가 설치되고, 하 편부(P2)의 상면에는 발광 소자로부터의 광을 수광하는 수광 소자(PD)가 설치되어 있다. 한편, 회전축(22)의 외주면에는 회전자로서의 차광 핀(키커)(LB)이 설치되어 있다. 차광 핀(LB)의 설치 높이는 차광 핀(LB)이 회전축(22)의 회전을 따라서 회전했을 때에, 포토 센서(P) 상편부(P1)와 하편부(P2) 사이를 통과하도록 결정되어 있다. 이에 의해, 차광 핀(LB)은 상편부(P1)와 하편부(P2) 사이를 통과할 때에, 발광 소자(PL)로부터 수광 소자(PD)를 향하는 광을 차단하게 된다. 광이 차단되면, 포토 센서(P)로부터의 출력 신호가 변화되므로, 이 변화로부터, 차광 핀(LB)이 포토 센서(P)를 통과한 것이 파악된다. 즉, 차광 핀(LB)의 설치 위치와, 서셉터(2)의 소정의 위치를 관련지어 둠으로써, 포토 센서(P)로부터의 출력 신호의 변화에 의해, 서셉터(2)의 소정의 위치를 파악하는 것이 가능해진다. 구체적으로는, 차광 핀(LB)의 설치 위치[회전축(22)의 외주면의 둘레 방향을 따른 위치]를, 예를 들어 서셉터(2)의 위치 검출용 마크(2a) 중 어느 하나에 일치시켜 두면 바람직하다. 이에 따르면, 차광 핀(LB)이 포토 센서(P)의 상편부(P1)와 하편부(P2) 사이에 위치했을 때의 위치 검출용 마크(2a)의 위치를 파악할 수 있다. 또한, 서셉터(2)의 위치 검출용 마크(2a)의 각각에 대응하는 5개의 차광 핀(LB)을 회전축(22)에 설치해도 좋다.
이와 같은 구성과 상술한 기판 위치 검출 장치(101)(도 1)에 의해, 도 28에 도시한 바와 같이, 서셉터(2)의 원점 위치를 조정할 수 있다. 우선, 스텝 S21에 있어서, 서셉터(2)의 적재부(24) 중 하나에 웨이퍼(W)가 적재되고, 스텝 S22에 있어서 카운터(m)가 제로로 설정된다. 다음에, 이 웨이퍼(W)의 에지 영역이 기판 위 치 검출 장치(101)의 관찰 시야에 들어가도록 서셉터(2)가 회전한다. 이 후, 웨이퍼(W)의 에지를 포함한 영역이 촬상되어, 제어부(104a)(도 1)에 있어서 위치 검출용 마크(2a)가 허용 범위 내에 있는지 여부가 판정된다(스텝 S221). 구체적으로는 위치 검출용 마크(2a)가, 도 2의 스텝 S21에 있어서의 「적재부(24)의 중심 위치의 추정」을 적절하게 행할 수 있는 적정 위치로부터는 어긋나 있지만, 조정에 의해 적정 위치로 이동 가능한 범위(허용 범위)에 있는지 여부가 판정된다. 이 허용 범위는, 예를 들어 기판 위치 검출 장치(101)의 관찰 시야의 전체 영역(단, 적정 위치를 제외함)으로 설정해도 좋고, 적정 위치로부터 소정 거리의 범위로 설정해도 좋다.
위치 검출용 마크(2a)가 허용 범위에 없는 경우(스텝 S221의 「아니오」), 기판 위치 검출 장치(101)의 제어부(104a)로부터 성막 장치의 제어부로 지령 신호가 출력되어, 이에 의해 서셉터(2)가 회전을 개시하고, 포토 센서(P)와 차광 핀(LB)에 의해, 위치 검출용 마크(2a)가 허용 범위 내에 들어가도록 정지한다(스텝 S222). 즉, 포토 센서(P)와 차광 핀(LB)을 이용한 예비 위치 결정이 행해진다. 다음에, 카운터(m)가 1만큼 증가되고(스텝 S223), 카운터(m)가 4 이상인지 여부가 판단되어(스텝 S224), 카운터(m)가 3 이하인 경우에는, 이 수순은 스텝 S220으로 복귀된다(스텝 S223의 「아니오」).
계속해서, 스텝 S220에 있어서, 웨이퍼(W)의 에지를 포함한 영역이 촬상되어, 위치 검출용 마크(2a)가 허용 범위 내에 있는지 여부가 다시 판정된다(스텝 S221). 위치 검출용 마크(2a)가 허용 범위 내에 있다고 판정된 경우에는(스텝 S221의 「예」), 스텝 S225로 진행하여, 위치 검출용 마크(2a)가 허용 범위 내로부터 적정 위치에 이르도록 위치 조정이 행해진다. 이 위치 조정은, 예를 들어, 도 29에 도시한 바와 같이 행할 수 있다. 도 29는 스텝 S225에 있어서 기판 위치 검출 장치(101)에서 촬상된 화상을 모식적으로 도시하는 도면으로, 스텝 S221에 있어서 허용 범위 내에 있다고 판정된 위치 검출용 마크(2a)가 부호 2a2로 나타나 있다. 이 위치 검출용 마크(2a2)를 적정 위치(원점)(2a1)로 이동시키기 위해, 우선, 위치 검출용 마크(2a2)의 허용 범위 내에 있어서의 위치(예를 들어, 좌표)가 검출된다. 당해 검출 결과에 기초하여, 서셉터(2)의 중심(C)과, 미리 기억되어 있는 적정 위치(2a1)를 연결하는 선과 위치 검출용 마크(2a2)의 거리(X)〔dots〕가 계산된다. 위치 검출용 마크(2a2)의 위치와, 서셉터(2)의 중심(C)과, 적정 위치(2a1)에 의해 결정되는 각도를 θ로 하면,
(R × A) × sinθ = X
여기서,
R : 서셉터(2)의 중심(C)과 위치 검출용 마크(2a) 사이의 기지의 거리〔㎜〕
A : 단위 길이당의 도트수〔dots/㎜〕
라고 하는 관계가 성립된다. 이에 의해, 각도(θ)는,
θ = arcsin[X/(R × A)]
로 부여된다. 이와 같이 하여 구한 각도(θ)만큼 서셉터(2)를 회전시킴으로써, 위치 검출용 마크(2a2)를 적정 위치(2a1)에 배치하는 것이 가능해진다. 예를 들어, 서셉터(2)를 회전시키는 구동부(23)가 펄스 모터로 구성되어, 9만 펄스에서 서셉터(2)가 1회전하는 것으로 하면, θ × 250(펄스)의 펄스수를 펄스 모터에 공급함으로써, 위치 검출용 마크(2a2)는 적정 위치(2a1)에 배치된다.
이 후, 도 2에 도시하는 흐름도의 스텝 S23으로 진행하여, 이하, 도 2의 흐름도를 따라서 웨이퍼(W)의 위치의 검출이 행해진다.
한편, 스텝 S221에 있어서, 위치 검출용 마크(2a)가 허용 범위 내에 없다고 판정된 경우에는(스텝 S221의 「아니오」), 스텝 S222로부터 S224가 반복되어, 다시 스텝 S220으로 복귀된다. 그리고, 웨이퍼(W)의 에지를 포함한 영역이 촬상되어, 위치 검출용 마크(2a)가 허용 범위 내에 있는지 여부가 판정된다. 위치 검출용 마크(2a)가 허용 범위 내에 있다고 판정되면(스텝 S221의 「예」), 스텝 S225에 있어서 상술한 위치 조정이 행해지고, 위치 검출용 마크(2a)가 허용 범위 내에 없다고 판정되면(스텝 S221의 「아니오」), 스텝 S222로부터 S224가 반복된다.
여기서, 스텝 S223에 있어서 카운터(m)가 4로 된 경우에는, 스텝 S224에 있어서 「예」라고 판정되고, 스텝 S27에 있어서, 알람이 발생하여, 제어부(104a)로부터 성막 장치(200)에 대해 동작의 중지를 요구하는 신호가 송신되어, 이에 의해 성막 장치(200)가 대기 상태로 된다. 즉, 포토 센서(P)와 차광 핀(LB)을 이용한 예비 위치 결정이 3회 행해지고, 그래도 위치 검출용 마크(2a)가 허용 범위 내에 들어가지 않는 경우, 성막 장치(200)가 대기 상태로 된다. 이 경우, 성막 장치(200)의 조작자에 의해, 소정의 수순을 따른 복구 작업이 행해진다.
본 변형예의 기판 위치 검출 장치(101) 및 기판 위치 검출 방법에 따르면, 기판 위치가 검출되어야 할 성막 장치(200) 등의 반도체 제조 장치에 간단한 포토 센서(P)와 차광 핀(키커)(LB)을 설치하는 것만으로, 기판 위치 검출 장치(101) 및 기판 위치 검출 방법에 의해, 웨이퍼가 적재되는 서셉터(2)의 원점 위치를 간편하게 조정하는 것이 가능해진다. 다른 방법으로서, 기판 위치 검출 장치의 제어부 또는 반도체 제조 장치의 제어부에 서셉터 원점 위치 정보를 저장해 두고, 이 정보에 기초하여 원점 위치를 검출하여 조정하는 등의 방법도 생각되지만, 위치 검출 및 위치 조정을 위한 알고리즘이 복잡해질 가능성이 있다. 이에 대해, 변형예의 기판 위치 검출 장치(101) 및 기판 위치 검출 방법에서는 기판 위치 검출을 위한 기판 위치 검출 장치(101)와 기판 위치 검출 방법의 경미한 변경에 의해, 서셉터(2)의 원점 위치 검출을 행할 수 있다고 하는 이점이 있다.
또한, 일반적으로는, 포토 센서(P) 및 차광 핀(LB)에만 의해서도, 서셉터(2)의 원점 위치를 조정할 수 있지만, 본 발명의 실시 형태에 의한 성막 장치(200)에 설치된 서셉터(2)는 5매의 12인치(약 300 mm) 웨이퍼를 적재할 수 있을 정도의 직경을 갖고 있으므로, 직경이 작은 회전축(22)에 설치된 차광 핀(LB)과 이것에 대응하여 배치된 포토 센서(P)에 의해 위치 조정해도, 서셉터(2)의 외주부에 있어서의 오차를 무시할 수 없다. 이것을 해결하기 위해서는, 차광 핀(LB)을 서셉터(2)의 외주부에 설치하면 좋다고도 생각되지만, 서셉터(2)가 고온으로 되므로, 차광 핀(LB)에 의해 광로가 차단되도록 포토 센서(P)를 서셉터(2) 내에 설치할 수는 없다. 그러나, 상술한 포토 센서(P), 차광 핀(LB) 및 기판 위치 검출 장치(101)에 따르면, 포토 센서(P)를 적절한 환경 하에 배치하면서, 서셉터(2)의 위치를 정확하게 검출하는 것이 가능해진다. 또한, 도 28에 도시하는 변형예의 기판 위치 검출 방법은 웨이퍼를 챔버(12) 내로 반입하여, 서셉터(2)의 적재부(24)에 적재할 때, 즉 적재부(24)가 반송구(15)에 정렬되도록 서셉터(2)를 위치 결정할 때에 이용하기 위해 더욱 변형할 수 있다. 환언하면, 도 28의 흐름도의 스텝 S210으로부터 S224(S27)를 스텝 S21 전에 행하는 것으로 하고, 스텝 S220에 있어서는 서셉터(2)의 적재부(24)의 에지 및 위치 검출용 마크(2a)를 촬상하면 된다[이 시점에서는, 웨이퍼(W)는 적재되어 있지 않음].
또한, 포토 센서(P) 대신에, 기계적인 스위치를 사용하여, 회전축(22)에 설치된 핀이 회전할 때에, 당해 스위치를 온으로 하도록 해도 상관없다.
또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치(101)의 다른 변형예로서는, 이하의 것이 있다. 상술한 기판 위치 검출 장치(101)에 있어서, 광원(108)은 패널(106)과 창(102a) 사이에 배치되어 있었지만, 도 6에 도시한 바와 같이, 패널(106)의 상방에 있어서 하우징(102)의 내측벽에 광원(109)을 설치하여, 광원(109)으로부터 패널(106)의 상면[카메라(104)에 마주보는 면]에 광을 조사해도 좋다. 광원(109)은 광원(108)과 마찬가지로 백색 LED를 포함하고 있다. 이 경우라도, 패널(106)은 광산란성을 갖고 있으므로, 조사광은 패널(106) 내를 투과할 때에 다양한 각도로 산란되고, 패널의 양면 사이에서의 다중 반사도 발생하므로, 패 널(106)의 전체면이 대략 동일한 광강도로 발광하게 된다. 따라서, 본 발명의 실시 형태에 의한 기판 위치 검출 장치의 효과가 발휘된다. 또한, 도 6에 도시한 바와 같이, 광원(109)뿐만 아니라, 패널(106)과 창(102a) 사이의 광원(108)도 설치해 두어도 좋다. 후술하는 바와 같이, 서셉터(2)의 위치 검출 시에, 이 광원(108)에 의해 웨이퍼(W)에 광을 직접적으로 조사해도 좋다.
패널(106)은, 상기한 실시 형태에 있어서는, 백색 안료가 도포된 유백색의 아크릴판으로 제작되었지만, 이에 한정되지 않고, 패널(106)에 의해 웨이퍼(W)가 균일하게 빛나 보이는 한 다양한 재료로 제작해도 좋다. 예를 들어, 패널(106)은 실리카 입자나 실리콘 폴리머 입자 등의 광산란 입자를 포함하는 수지에 의해 제작해도 좋고, 표면이 조면화된 수지판 또는 글래스판으로 제작해도 좋다. 물론, 투명한 수지판이나 글래스판으로부터 패널(106)을 제작하여, 일면 또는 양면을 조면화해도 좋다. 조면화는, 예를 들어 샌드블라스트, 지석 등을 사용하는 기계적 연삭, 또는 에칭에 의해 행할 수 있다. 또한, 표면에 마이크로 렌즈 어레이가 형성된 수지판이나 글래스판으로부터 패널(106)을 형성해도 좋다. 또한, 패널(106)은 상기한 실시 형태에 있어서는, 백색 안료가 도포된 유백색의 아크릴판으로 제작되었지만, 패널(106)에 의해 웨이퍼(W)에 간접적으로 광조사되는 한에 있어서, 아크릴판에 도포되는 안료의 색은 백색으로 한정되지 않는다.
또한, 패널(106)은 평판일 필요는 없고, 카메라(104)에 웨이퍼(W) 및 그 주변을 촬상시키는 개구부(106a)를 갖는 한, 돔 형상, 원뿔대 형상, 또는 각뿔대 형상(상하의 방향에 상관없음)이라도 좋다.
또한, 패널(106)에 광을 조사하는 광원은 패널(106)의 측면으로부터 광을 조사하도록 해도 좋다. 이 경우, 패널(106)의 어느 한쪽의 표면에 마이크로 렌즈 어레이가 형성되어 있으면, 패널(106)이 대략 균일하게 발광하는 관점에서 바람직하다.
또한, 광원이 패널(106)과 일체로 설치되어도 좋다. 예를 들어, 광산란성을 갖고 중앙에 개구부(106a)를 갖는 하나의 부재와 다른 부재 사이에, 발광면이 당해 하나의 부재에 면하도록 복수개의 백색 LED(칩)를 배치하고, 각 LED(칩)에 전력을 공급할 수 있도록 배선하여, 양 부재를 접합함으로써, 패널(106)을 제작해도 좋다. 이 구성에 의해서도, 각 백색 LED(칩)에 전력을 공급함으로써, 광산란성을 갖는 하나의 부재를 대략 균일하게 발광시킬 수 있다. 이 경우, 광산란성을 갖는 하나의 부재가 상기한 패널(106)에 해당한다. 또한, 본 예에 있어서, 다른 부재는 광산란성을 갖고 있어도 되고, 갖고 있지 않아도 된다. 또한, 다른 부재의 하나의 부재에 면하는 면이 광반사성을 갖고 있어도 된다.
상술한 기판 위치 검출 방법의 스텝 S22에 있어서, 광원(108)에 의해 패널(106)의 하면을 조사하여 웨이퍼(W)의 에지와 그 주변 영역을 촬상하여, 서셉터(2)의 위치 검출용 마크(2a)를 검출하였지만, 위치 검출용 마크(2a)를 검출하는 경우에는, 광원(108)을 웨이퍼(W)쪽을 향하게 하여, 웨이퍼(W)의 에지와 그 주변 영역에 직접적으로 광을 조사해도 좋다. 이와 같이 하면, 위치 검출용 마크(2a)를 보다 고정밀도로 검출하는 것이 가능해진다. 또한, 패널(106)의 상면 또는 측면에 광을 조사하는 경우, 또는 광원이 패널(106)과 일체화되어 있는 경우, 위치 검출용 마크(2a)의 검출 시에, 패널(106)과 창(102a) 사이에 설치한 광원(108)으로부터(도 6 참조) 웨이퍼(W)의 에지 및 그 주변에 광을 직접적으로 조사하면 바람직하다.
본 발명의 실시 형태에 의한 기판 위치 검출 방법에 있어서는, 서셉터(2)에 형성된 위치 검출용 마크(2a)에 기초하여 서셉터(2)의 적재부(24)의 중심 위치(C)를 추정하였지만, 다른 실시 형태에 있어서는, 적재부(24)의 에지의 형상으로부터 중심 위치(C)를 추정해도 좋다. 또한, 웨이퍼(W)의 에지와 적재부(24)의 에지의 간격에 기초하여, 웨이퍼(W)가 소정의 위치에 적재되어 있는지를 판정해도 좋다.
또한, 웨이퍼(W)의 적재부(24)는 오목부로 한정되지 않고, 서셉터(2) 상에 소정의 각도 간격으로 배치되어 웨이퍼(W)의 단부를 누르는 가이드 부재에 의해서도 형성될 수 있다. 예를 들어, 웨이퍼(W)의 적재부(24)는 정전 척을 갖고 있어도 된다. 이 경우라도, 예를 들어 위치 검출용 마크(2a)를 검출함으로써, 웨이퍼(W)의 중심 위치(WO)가 위치해야 할 위치[적재부(24)의 중심 위치(C)]를 추정할 수 있고, 웨이퍼(W)의 에지를 검출함으로써 얻은 웨이퍼(W)의 실제의 중심 위치(WO)를 추정하여 양자를 비교함으로써, 웨이퍼(W)가 소정의 위치에 적재되어 있는지를 판정할 수 있다.
또한, 상기한 실시 형태에 있어서, 카메라(104)로서, CCD 카메라를 사용하였지만, 이에 한정되지 않고, CMOS 카메라라도 좋다. 또한, 카메라(104)는 비디오 카메라라도 좋다.
광원(108)은 백색 LED(108a)가 아니라, 할로겐 램프, 크세논 램프 등이라도 좋다. 또한, 광원(108)의 발광색은 백색으로 한정되지 않고, 광원(108)으로부터의 광에 대해 카메라가 감도를 갖고 있으면 어느색이라도 좋다. 예를 들어, 백색광 이외에는, 황색, 오렌지색 또는 녹색과 같은 비교적 명도가 높은 색을 갖는 광이 바람직하다.
본 발명의 실시 형태에 의한 기판 위치 검출 장치는 위치 검출의 대상인 웨이퍼(W)가 수용되는 반도체 제조 장치의 상방에 배치될 필요는 없고, 그 제조 장치 내의 웨이퍼(W)의 에지 및 그 주변을 촬상할 수 있는 위치에 배치해도 되는 것은 물론이다. 또한, 하우징(102)의 개구부와 이것을 덮는 창(102a)은 하우징(102)의 하부로 한정되지 않고, 위치 검출의 대상인 웨이퍼(W)가 수용되는 장치와의 관계에 따라서 하우징(102)의 다른 부위에 설치하고, 창(102a)을 통해 카메라(104)에 의해 웨이퍼(W)의 에지 및 그 주변을 촬상해도 좋다. 또한, 하우징(102)은 반드시 필요한 것은 아니고, 웨이퍼(W)의 에지 및 그 주변을 촬상할 수 있도록, 반도체 제조 장치에 대해 카메라(104), 패널(106) 및 광원(108)을 설치해도 좋다.
또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치는 성막 장치뿐만 아니라, 에칭 장치나 열처리 장치를 비롯한 다양한 반도체 제조 장치에 적용하는 것이 가능하다. 또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치 및 기판 위치 검출 방법에 따르면, 베어 웨이퍼로 한정되지 않고, 다양한 프로세스에 의해 회로가 형성된 웨이퍼(W)의 위치를 검출하기 위해 적용하는 것이 가능하다. 또한, 반도체 제조 장치의 서셉터는 카본 등으로 제작될 필요는 없고, 석영이나 금속 등으로 제작되어도 좋다. 이와 같은 재료로 제작되는 경우라도, 서셉터에 적재되는 웨이퍼(W)는 패널(106)에 의해 광조사되어 균일하게 빛나 보이는 한편, 웨이퍼와 서셉터의 표면의 차이에 의해, 양자 사이에서의 콘트라스트를 유지할 수 있으므로, 고정밀도로 웨이퍼 위치를 검출하는 것이 가능하다.
또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치는 플랫 패널 디스플레이(FPD)의 제조에 사용하는 제조 장치에 있어서, FPD 기판의 위치를 검출하기 위해서도 사용하는 것이 가능하다.
또한, 다양한 변형예를 설명하였지만, 이들 변형예는 다양하게 조합하여 상술한 실시 형태에 적용해도 되는 것은 당업자에게 있어서 명백하다.
도 1은 본 발명의 실시 형태에 의한 기판 위치 검출 장치를 도시하는 모식도.
도 2는 본 발명의 실시 형태에 의한 기판 위치 검출 방법을 도시하는 흐름도.
도 3은 도 1의 기판 위치 검출 장치가 이용되는 성막 장치에 있어서의 웨이퍼의 배치를 설명하는 도면.
도 4는 도 1의 기판 위치 검출 장치를 이용하여 본 발명의 실시 형태에 의한 기판 위치 검출 방법에 따라서 촬상된 화상(b)을, 위치 검출 방법의 비교를 위해 촬상한 화상(a)과 대비하여 도시하는 도면.
도 5는 본 발명의 실시 형태에 의한 기판 위치 검출 장치 및 기판 위치 검출 방법에 있어서의, 웨이퍼의 중심 위치의 추정을 설명하는 도면.
도 6은 본 발명의 다른 실시 형태에 의한 기판 위치 검출 장치를 모식적으로 도시하는 도면.
도 7은 도 1의 기판 위치 검출 장치를 구비하는, 본 발명의 실시 형태에 의한 성막 장치를 도시하는 모식도.
도 8은 도 7의 성막 장치의 용기 본체의 내부를 도시하는 사시도.
도 9는 도 7의 성막 장치의 용기 본체의 내부를 도시하는 상면도.
도 10은 도 7의 성막 장치의 가스 공급 노즐, 서셉터 및 볼록 형상부와의 위치 관계를 도시하는 도면.
도 11은 도 7의 성막 장치의 일부 단면도.
도 12는 도 7의 성막 장치의 파단 사시도.
도 13은 도 7의 성막 장치에 있어서의 퍼지 가스의 흐름을 도시하는 일부 단면도.
도 14는 도 7의 성막 장치의 용기 본체 내로 액세스하는 반송 아암을 도시하는 사시도.
도 15는 도 7의 성막 장치의 용기 본체 내를 흐르는 가스의 플로우 패턴을 도시하는 상면도.
도 16은 도 7의 성막 장치 내의 돌출부의 형상을 설명하는 도면.
도 17은 도 7의 성막 장치의 가스 공급 노즐의 변형예를 도시하는 도면.
도 18은 도 7의 성막 장치 내의 돌출부의 변형예를 도시하는 도면.
도 19는 도 7의 성막 장치 내의 돌출부와 가스 공급 노즐의 변형예를 도시하는 도면.
도 20은 도 7의 성막 장치 내의 돌출부의 다른 변형예를 도시하는 도면.
도 21은 도 7의 성막 장치에 있어서의 가스 공급 노즐의 배치 위치의 변형예를 도시하는 도면.
도 22는 도 7의 성막 장치 내의 돌출부의 또 다른 변형예를 도시하는 도면.
도 23은 도 7의 성막 장치 내에 있어서, 반응 가스 공급 노즐에 대해 돌출부를 설치한 예를 도시하는 도면.
도 24는 도 7의 성막 장치 내의 돌출부의 또한 다른 변형예를 도시하는 도 면.
도 25는 도 1의 기판 위치 검출 장치를 구비하는, 본 발명의 다른 실시 형태에 의한 성막 장치를 도시하는 모식도.
도 26은 도 7 또는 도 25의 성막 장치를 포함하는 기판 처리 장치를 도시하는 모식도.
도 27은 본 발명의 다른 실시 형태에 의한 기판 위치 검출 장치를 설명하기 위한 모식도.
도 28은 본 발명의 다른 실시 형태에 의한 기판 위치 검출 방법을 도시하는 흐름도.
도 29는 본 발명의 다른 실시 형태에 의한 기판 위치 검출 방법을 설명하기 위한 모식도.
<도면의 주요 부분에 대한 부호의 설명>
2 : 서셉터
11 : 천장판
12 : 챔버
24 : 적재부
101 : 기판 위치 검출 장치
102 : 하우징
104 : 카메라
108 : 광원
200 : 성막 장치
201 : 뷰포트
W : 웨이퍼

Claims (20)

  1. 위치 검출 대상인 기판을 촬상하는 촬상부와,
    상기 촬상부와 상기 기판 사이에 배치되어, 상기 기판에 대한 상기 촬상부의 시야를 확보하는 제1 개구부를 갖는 광산란성의 패널 부재와,
    상기 패널 부재에 광을 조사하는 제1 조명부와,
    상기 촬상부에 의해 상기 제1 개구부를 통해 촬상된 화상으로부터 상기 기판의 위치를 구하는 처리부를 구비하는, 기판 위치 검출 장치.
  2. 제1항에 있어서, 상기 제1 조명부가, 상기 패널 부재의 상기 기판에 면하는 제1 면에 광을 조사하는, 기판 위치 검출 장치.
  3. 제1항에 있어서, 상기 제1 조명부가, 상기 패널 부재의 상기 촬상부에 면하는 제2 면에 광을 조사하는, 기판 위치 검출 장치.
  4. 제3항에 있어서, 상기 기판에 광을 조사하는 제2 조명부를 더 구비하는, 기판 위치 검출 장치.
  5. 제2항에 있어서, 상기 제1 면에 광을 조사하는 제1 조명부의 광방사부의 방향이, 상기 기판에 광을 조사하기 위해 변경 가능한, 기판 위치 검출 장치.
  6. 제1항에 있어서, 상기 패널 부재가 광산란성 입자를 포함하는 수지에 의해 형성되는, 기판 위치 검출 장치.
  7. 제1항에 있어서, 상기 패널 부재가, 안료가 도포된 투명 수지판에 의해 형성되는, 기판 위치 검출 장치.
  8. 제1항에 있어서, 상기 패널 부재의 상기 제1 면 및 상기 제2 면의 어느 한쪽 또는 양쪽이 조면화되어 있는, 기판 위치 검출 장치.
  9. 제1항에 있어서, 위치 검출 대상인 상기 기판을 면하는 개구와,
    기체를 도입하는 도입구와,
    상기 도입구로부터 도입된 기체를 배기하는 배기구를 포함하는, 상기 촬상부를 수용하는 하우징을 더 구비하고,
    상기 패널 부재가, 상기 하우징 내에 있어서 상기 개구와 상기 촬상부 사이에 배치되고,
    상기 패널 부재가, 상기 기체가 통과 가능한 제2 개구부를 더 포함하는, 기판 위치 검출 장치.
  10. 제1항에 있어서, 위치 검출 대상인 상기 기판이 적재되는 서셉터를 회전시키 는 회전 구동 기구에 설치되는, 당해 서셉터에 설치된 위치 검출용 마크의 위치를 검출하는 검출부를 더 구비하고,
    상기 처리부가, 상기 화상으로부터 상기 위치 검출용 마크가 소정의 범위에 있는지 여부를 검출하는, 기판 위치 검출 장치.
  11. 제10항에 있어서, 상기 검출부가, 상기 회전 구동 기구에 설치된 고정자와, 상기 회전 구동 기구의 회전부에 설치되어, 상기 고정자와 협동하는 회전자를 포함하는, 기판 위치 검출 장치.
  12. 위치 검출 대상인 기판을 서셉터의 적재부에 적재하는 공정과,
    개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과,
    상기 개구부를 통해, 상기 광이 조사되는 상기 패널 부재에 의해 조사되는, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과,
    상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과,
    상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정을 포함하는, 기판 위치 검출 방법.
  13. 제12항에 있어서, 상기 적재부의 위치를 추정하는 공정이, 상기 서셉터에 설치되는 위치 검출용 마크를 검출하는 공정을 포함하는, 기판 위치 검출 방법.
  14. 제12항에 있어서, 상기 기판의 위치를 추정하는 공정이, 상기 적재부에 적재된 상기 기판의 단부를 인식하는 공정을 포함하는, 기판 위치 검출 방법.
  15. 제13항에 있어서, 상기 적재부의 위치를 추정하는 공정이,
    상기 화상으로부터 상기 위치 검출용 마크가 상기 화상 내의 소정의 범위에 있는지 여부를 검출하는 공정과,
    상기 검출하는 공정에 있어서 상기 위치 검출용 마크가 소정의 범위에 없다고 판정된 경우에, 상기 서셉터를 회전시키는 회전 구동 기구에 설치된 검출부의 검출 결과에 기초하여 상기 위치 검출 마크가 상기 소정의 범위 내에 들어가도록 상기 서셉터의 위치를 조정하는 공정과,
    상기 소정의 범위 내에 들어간 상기 위치 검출 마크의 위치를 검출하여, 당해 검출 결과에 기초하여 상기 위치 검출 마크를 소정의 위치에 위치하도록 상기 서셉터의 위치를 조정하는 공정을 포함하는, 기판 위치 검출 방법.
  16. 제15항에 있어서, 상기 검출부가, 상기 회전 구동 기구에 설치된 고정자와, 상기 회전 구동 기구의 회전부에 설치되어, 상기 고정자와 협동하는 회전자를 포함하는, 기판 위치 검출 방법.
  17. 용기 내에서, 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판에 공급하는 사이클을 실행하여 반응 생성물의 층을 당해 기판 상에 생성함으로써 막을 퇴적하는 성막 장치이며,
    상기 용기에 회전 가능하게 설치된 서셉터와,
    상기 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부와,
    상기 적재부에 적재되는 상기 기판의 위치를 검출하는, 제1항에 기재되는 기판 위치 검출 장치와,
    상기 하나의 면에 제1 반응 가스를 공급하도록 구성된 제1 반응 가스 공급부와,
    상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 이격된, 상기 하나의 면에 제2 반응 가스를 공급하도록 구성된 제2 반응 가스 공급부와,
    상기 회전 방향을 따라서, 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스가 공급되는 제2 처리 영역 사이에 위치하여, 상기 제1 처리 영역과 상기 제2 처리 영역을 분리하는 분리 영역과,
    상기 제1 처리 영역과 상기 제2 처리 영역을 분리하기 위해, 상기 용기의 중앙에 위치하여, 상기 하나의 면을 따라서 제1 분리 가스를 토출하는 토출 구멍을 갖는 중앙 영역과,
    상기 용기를 배기하기 위해 상기 용기에 형성된 배기구를 구비하고,
    상기 분리 영역이, 제2 분리 가스를 공급하는 분리 가스 공급부와, 상기 제2 분리 가스가 상기 회전 방향에 대해 상기 분리 영역으로부터 상기 처리 영역측으로 흐를 수 있는 협애한 공간을, 상기 서셉터의 상기 하나의 면에 대해 형성하는 천장면을 포함하는, 성막 장치.
  18. 제17항에 기재된 성막 장치를 사용하여 기판 상에 막을 퇴적하는 성막 방법이며,
    상기 용기에 회전 가능하게 설치된 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부에 상기 기판을 적재하는 공정과,
    개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과,
    상기 개구부를 통해, 상기 광이 조사되는 상기 패널 부재에 의해 조사되는, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과,
    상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과,
    상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정과,
    상기 기판이 소정의 위치에 있다고 판정된 경우에, 상기 기판이 적재된 상기 서셉터를 회전시키는 공정과,
    제1 반응 가스 공급부로부터 상기 서셉터의 상기 하나의 면으로 제1 반응 가스를 공급하는 공정과,
    상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 이격된 제2 반응 가스 공급부로부터 상기 서셉터의 상기 하나의 면으로 제2 반응 가스를 공급하는 공정과,
    상기 제1 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제1 처리영역과 상기 제2 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제2 처리 영역 사이에 위치하는 분리 영역에 설치된 분리 가스 공급부로부터, 제1 분리 가스를 공급하여, 상기 분리 영역의 천장면과 상기 서셉터 사이에 형성되는 협애한 공간에 있어서 상기 회전 방향에 대해 상기 분리 영역으로부터 상기 처리 영역측으로 상기 제1 분리 가스를 흘리는 공정과,
    상기 용기의 중앙부에 위치하는 중앙부 영역에 형성되는 토출 구멍으로부터 상기 하나의 면을 따라서 제2 분리 가스를 공급하는 공정과,
    상기 용기를 배기하는 공정을 포함하는 성막 방법.
  19. 위치 검출 대상인 기판을 서셉터의 적재부에 적재하는 공정과,
    개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과,
    상기 개구부를 통해, 상기 광이 조사되는 상기 패널 부재에 의해 조사되는, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과,
    상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과,
    상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정을 포함하는 기판 검출 방법을 제1항에 기재된 기판 위치 검출 장치에 실시시키는 프로그램을 기억하는, 컴퓨터 판독 가능 기억 매체.
  20. 상기 용기에 회전 가능하게 설치된 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부에 상기 기판을 적재하는 공정과,
    개구부를 갖는 광산란성의 패널 부재에 광을 조사하는 공정과,
    상기 개구부를 통해, 상기 광이 조사되는 상기 패널 부재에 의해 조사되는, 상기 기판 및 상기 적재부를 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상에 기초하여 상기 적재부의 위치를 추정하는 공정과,
    상기 영역의 화상에 기초하여 상기 기판의 위치를 추정하는 공정과,
    상기 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지 여부를 판정하는 공정과,
    상기 기판이 소정의 위치에 있다고 판정된 경우에, 상기 기판이 적재된 상기 서셉터를 회전시키는 공정과,
    제1 반응 가스 공급부로부터 상기 서셉터의 상기 하나의 면으로 제1 반응 가스를 공급하는 공정과,
    상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 이격된 제2 반응 가스 공급부로부터 상기 서셉터의 상기 하나의 면으로 제2 반응 가스를 공급하는 공정과,
    상기 제1 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스 공급부로부터 상기 제1 반응 가스가 공급되는 제2 처리영역 사이에 위치하는 분리 영역에 설치된 분리 가스 공급부로부터, 제1 분리 가스 를 공급하여, 상기 분리 영역의 천장면과 상기 서셉터 사이에 형성되는 협애한 공간에 있어서 상기 회전 방향에 대해 상기 분리 영역으로부터 상기 처리 영역측으로 상기 제1 분리 가스를 흘리는 공정과,
    상기 용기의 중앙부에 위치하는 중앙부 영역에 형성되는 토출 구멍으로부터 상기 하나의 면을 따라서 제2 분리 가스를 공급하는 공정과,
    상기 용기를 배기하는 공정을 구비하는 성막 방법을 제17항에 기재된 성막 장치에 실시시키는 프로그램을 기억하는, 컴퓨터 판독 가능 기억 매체.
KR1020090111180A 2008-11-19 2009-11-18 기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체 KR20100056393A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2008-295641 2008-11-19
JP2008295641 2008-11-19
JPJP-P-2009-130532 2009-05-29
JP2009130532A JP2010153769A (ja) 2008-11-19 2009-05-29 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体

Publications (1)

Publication Number Publication Date
KR20100056393A true KR20100056393A (ko) 2010-05-27

Family

ID=42172247

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090111180A KR20100056393A (ko) 2008-11-19 2009-11-18 기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체

Country Status (5)

Country Link
US (1) US20100124610A1 (ko)
JP (1) JP2010153769A (ko)
KR (1) KR20100056393A (ko)
CN (1) CN101740447A (ko)
TW (1) TW201036081A (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130056177A (ko) * 2011-11-21 2013-05-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법, 및 기판 처리 프로그램을 기억한 컴퓨터 판독 가능한 기억 매체
KR101377746B1 (ko) * 2012-10-18 2014-03-27 조영진 잉곳 성장 챔버의 뷰포트 뷰어장치
KR20140081705A (ko) * 2012-12-21 2014-07-01 도쿄엘렉트론가부시키가이샤 기판 위치 검출 장치 및 이것을 이용한 기판 처리 장치, 성막 장치
KR101454068B1 (ko) * 2010-09-28 2014-10-27 도쿄엘렉트론가부시키가이샤 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법
KR101582909B1 (ko) * 2012-03-20 2016-01-19 비코 인스트루먼츠 인코포레이티드 키형 웨이퍼 캐리어
KR20170093719A (ko) * 2016-02-05 2017-08-16 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR20190070266A (ko) * 2017-12-12 2019-06-20 시바우라 메카트로닉스 가부시끼가이샤 워크 검출 장치, 성막 장치 및 워크 검출 방법

Families Citing this family (421)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
WO2011148629A1 (ja) * 2010-05-27 2011-12-01 パナソニック株式会社 プラズマ処理装置
CN102939648B (zh) * 2010-06-01 2015-05-27 松下电器产业株式会社 等离子处理装置以及等离子处理方法
KR20120016931A (ko) * 2010-08-17 2012-02-27 (주)큐엠씨 기판가공장치 및 기판가공방법
TWI499725B (zh) * 2010-12-30 2015-09-11 Au Optronics Corp 潔淨風扇節能系統
CN103502508B (zh) * 2010-12-30 2016-04-27 维易科仪器公司 使用承载器扩展的晶圆加工
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20140004229A (ko) * 2011-06-08 2014-01-10 무라다기카이가부시끼가이샤 워크 처리 시스템
CN102222605B (zh) * 2011-06-08 2013-05-15 致茂电子(苏州)有限公司 一种具有破片检测的晶圆输送设备
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
CN102840831A (zh) * 2011-06-24 2012-12-26 上海瑞伯德智能系统科技有限公司 角码宽度视觉测量系统
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
CN103797420A (zh) * 2011-09-12 2014-05-14 迈普尔平版印刷Ip有限公司 具有基底板的真空腔室
US8982362B2 (en) 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5846686B2 (ja) * 2011-11-22 2016-01-20 株式会社ミツトヨ 光電式エンコーダのスケールの製造方法
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101349740B1 (ko) 2011-12-29 2014-01-13 주식회사 인지디스플레이 표시장치용 샤시를 위한 부분 도색 장치
US9151597B2 (en) * 2012-02-13 2015-10-06 First Solar, Inc. In situ substrate detection for a processing system using infrared detection
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014069291A1 (ja) * 2012-10-29 2014-05-08 ローツェ株式会社 半導体基板の位置検出装置及び位置検出方法
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
US20150270155A1 (en) * 2012-11-21 2015-09-24 Ev Group Inc. Accommodating device for accommodation and mounting of a wafer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP5535347B1 (ja) * 2013-02-04 2014-07-02 エピクルー株式会社 撮像装置、半導体製造装置および半導体製造方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR20150130524A (ko) 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
KR102164707B1 (ko) * 2013-08-14 2020-10-13 삼성디스플레이 주식회사 원자층 증착 방법 및 원자층 증착 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9796045B2 (en) * 2013-12-19 2017-10-24 Sunpower Corporation Wafer alignment with restricted visual access
CN104752253B (zh) * 2013-12-31 2018-03-09 北京北方华创微电子装备有限公司 晶片检测方法及设备
CN103792715B (zh) * 2014-01-27 2017-01-25 北京京东方显示技术有限公司 一种显示基板制造方法、系统及装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN103968759A (zh) * 2014-05-07 2014-08-06 京东方科技集团股份有限公司 一种检测装置和方法
CN103981504B (zh) * 2014-06-03 2016-04-13 上海先进半导体制造股份有限公司 物理气相淀积机台及其冷却腔体
JP6246674B2 (ja) * 2014-07-24 2017-12-13 キヤノンマシナリー株式会社 位置確認装置及びダイボンダ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6280487B2 (ja) * 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9796086B2 (en) * 2015-05-01 2017-10-24 Kawasaki Jukogyo Kabushiki Kaisha Method of teaching robot and robot
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10186438B2 (en) 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10276455B2 (en) 2016-07-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for measurement of semiconductor device fabrication tool implement
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6882908B2 (ja) * 2017-02-17 2021-06-02 株式会社Screenホールディングス 基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
JP6858079B2 (ja) * 2017-05-31 2021-04-14 日立造船株式会社 監視装置および監視方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6662840B2 (ja) * 2017-12-11 2020-03-11 株式会社アルバック 蒸着装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108987328B (zh) * 2018-05-31 2021-08-06 华灿光电(浙江)有限公司 外延生长的石墨基座及利用石墨基座监测外延生长的方法
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190382882A1 (en) * 2018-06-13 2019-12-19 X Development Llc Vacuum deposition processing of multiple substrates
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10698025B2 (en) * 2018-07-20 2020-06-30 Formfactor Beaverton, Inc. Probe systems and methods that utilize a flow-regulating structure for improved collection of an optical image of a device under test
TWI794530B (zh) 2018-07-20 2023-03-01 美商應用材料股份有限公司 基板定位設備及方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN109016290B (zh) * 2018-08-01 2021-06-25 芜湖市崇兴乐塑胶有限公司 一种用于塑料产品生产用风冷定型干燥装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7134033B2 (ja) * 2018-09-06 2022-09-09 東京エレクトロン株式会社 基板状態判定装置、基板処理装置、モデル作成装置及び基板状態判定方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113710589B (zh) * 2019-04-26 2023-01-10 株式会社资生堂 液状体排出装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210017943A (ko) * 2019-08-09 2021-02-17 캐논 톡키 가부시키가이샤 성막 시스템, 성막 시스템의 이상 개소 판별 방법, 컴퓨터 판독 가능 기록매체, 및 기록매체에 기록된 컴퓨터 프로그램
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7497262B2 (ja) 2020-09-24 2024-06-10 株式会社Screenホールディングス 基板処理装置および基板位置調整方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TWI759913B (zh) * 2020-10-16 2022-04-01 天虹科技股份有限公司 原子層沉積薄膜厚度的檢測系統及檢測方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN213304093U (zh) * 2021-03-19 2021-05-28 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN117916669A (zh) * 2021-09-03 2024-04-19 Asml荷兰有限公司 用于图像检查装置的样品边缘检测和样品定位的方法和系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318281B (zh) * 2021-12-30 2023-12-15 布劳恩惰性气体系统(上海)有限公司 加热样品台及具有其的真空镀膜系统
CN116313873B (zh) * 2023-05-11 2023-07-25 深圳市森美协尔科技有限公司 一种全自动晶圆测试设备及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPH06101513B2 (ja) * 1987-11-16 1994-12-12 日本電気株式会社 半導体基板処理装置
JPH0513537A (ja) * 1991-07-01 1993-01-22 Fujitsu Ltd 画像処理用照明装置
JPH10275850A (ja) * 1997-03-28 1998-10-13 Nikon Corp 露光装置
JP2888336B1 (ja) * 1998-02-12 1999-05-10 直江津電子工業株式会社 研磨ウエハの自動回収方法
US6471464B1 (en) * 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4756766B2 (ja) * 2001-04-23 2011-08-24 不二越機械工業株式会社 ワークの供給装置
JP2003191013A (ja) * 2001-12-25 2003-07-08 Daido Steel Co Ltd 被計数材の照明装置
JP4068588B2 (ja) * 2003-03-31 2008-03-26 シーシーエス株式会社 光照射装置
GB0417936D0 (en) * 2004-08-12 2004-09-15 Rolls Royce Plc Method and apparatus for recycling inert gas
JP4558417B2 (ja) * 2004-09-01 2010-10-06 有限会社シマテック 照明制御装置
JP4396461B2 (ja) * 2004-09-15 2010-01-13 信越半導体株式会社 板状体の観察方法、観察装置、及び観察用補助治具
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP4873683B2 (ja) * 2005-04-19 2012-02-08 チェイル インダストリーズ インコーポレイテッド 面光源装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4842090B2 (ja) * 2006-10-26 2011-12-21 有限会社シマテック Led照明装置
ATE533043T1 (de) * 2007-08-31 2011-11-15 Icos Vision Systems Nv Vorrichtung und verfahren zum nachweis von halbleitersubstratanomalien

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101454068B1 (ko) * 2010-09-28 2014-10-27 도쿄엘렉트론가부시키가이샤 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법
KR20130056177A (ko) * 2011-11-21 2013-05-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법, 및 기판 처리 프로그램을 기억한 컴퓨터 판독 가능한 기억 매체
KR101582909B1 (ko) * 2012-03-20 2016-01-19 비코 인스트루먼츠 인코포레이티드 키형 웨이퍼 캐리어
KR101377746B1 (ko) * 2012-10-18 2014-03-27 조영진 잉곳 성장 챔버의 뷰포트 뷰어장치
KR20140081705A (ko) * 2012-12-21 2014-07-01 도쿄엘렉트론가부시키가이샤 기판 위치 검출 장치 및 이것을 이용한 기판 처리 장치, 성막 장치
KR20170093719A (ko) * 2016-02-05 2017-08-16 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR102171645B1 (ko) 2016-02-05 2020-10-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR20190070266A (ko) * 2017-12-12 2019-06-20 시바우라 메카트로닉스 가부시끼가이샤 워크 검출 장치, 성막 장치 및 워크 검출 방법

Also Published As

Publication number Publication date
CN101740447A (zh) 2010-06-16
US20100124610A1 (en) 2010-05-20
TW201036081A (en) 2010-10-01
JP2010153769A (ja) 2010-07-08

Similar Documents

Publication Publication Date Title
KR20100056393A (ko) 기판 위치 검출 장치, 기판 위치 검출 방법, 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR101454068B1 (ko) 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법
TWI486483B (zh) 成膜裝置、成膜方法及電腦可讀式記憶媒體
KR20100028497A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터 판독 가능한 기록 매체
KR102354048B1 (ko) 기판 휨 검출 장치 및 기판 휨 검출 방법, 그리고 이들을 사용한 기판 처리 장치 및 기판 처리 방법
KR101403818B1 (ko) 성막 장치 및 성막 방법
KR101685243B1 (ko) 기판 위치 검출 장치 및 이것을 이용한 기판 처리 장치, 성막 장치
KR101502205B1 (ko) 성막 장치 및 성막 방법
KR101434709B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101576302B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
TWI418650B (zh) 成膜裝置
TWI530583B (zh) 成膜裝置及成膜方法
KR102028237B1 (ko) 기판 처리 장치
KR20100028490A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기억 매체
KR20130140576A (ko) 성막 방법
TWI650443B (zh) 成膜裝置
KR20150070330A (ko) 기판 지향기 챔버
TWI706445B (zh) 基板處理方法及基板處理裝置
JP6481363B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR102475800B1 (ko) 매니폴드 유닛을 갖는 기판 처리 설비
JP2022056899A (ja) 成膜装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid