TWI794530B - 基板定位設備及方法 - Google Patents

基板定位設備及方法 Download PDF

Info

Publication number
TWI794530B
TWI794530B TW108124694A TW108124694A TWI794530B TW I794530 B TWI794530 B TW I794530B TW 108124694 A TW108124694 A TW 108124694A TW 108124694 A TW108124694 A TW 108124694A TW I794530 B TWI794530 B TW I794530B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
signal strength
controller
thickness
Prior art date
Application number
TW108124694A
Other languages
English (en)
Other versions
TW202018428A (zh
Inventor
雅拉 莫拉迪安
特拉維斯 泰池
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202018428A publication Critical patent/TW202018428A/zh
Application granted granted Critical
Publication of TWI794530B publication Critical patent/TWI794530B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

本文描述了用於處理腔室中的基板的設備和方法,具體而言係描述用於將非圓形基板定位在保持腔室或處理腔室中。本文進一步描述了方法和設備,該等方法和設備檢測沿著頂表面和底表面之間的基板的厚度所傳輸的輻射、確定基板旋轉時的信號強度並獲得信號強度模式以確定腔室內的基板相對於中心位置的位置。

Description

基板定位設備及方法
本揭示的實施例大體上與處理和對準如基板的工件相關,且更具體而言,係與利用定位設備來處理工件的設備和方法相關。
積體電路由涉及將基板放置在腔室中的處理來製造,該等腔室包括保持腔室、或「加載鎖定」腔室和各種基板處理腔室,該等各種基板處理腔室包括但不限於沉積腔室、熱處理腔室、蝕刻腔室、電漿腔室和其他處理基板的腔室。可在一個腔室中執行一個以上的處理。例如,電漿處理、熱處理和蝕刻可在單個腔室或單獨的腔室中進行。在眾多半導體處理系統中,藉由一或多個包括機械臂或機器人臂的前開式晶圓傳送盒(FOUP)將基板供應到腔室。如在基板上產生圖案化材料的基板處理需要用於沉積和去除暴露材料的受控方法。然而,在沉積和去除之前,基板的精確放置是處理控制的重要態樣。
由於若干原因(如FOUP中的基板放置的變化、處理腔室中的基板放置及晶圓從機器人臂轉移的一致性和可重複性),故並非精確地拾取和類似地放置基板。因此,存在不同的基板不能精確地放置在處理腔室中的相同位置的可能性。這會影響對流動條件、視線或其他對於處理的重要物理或化學之輕微變化敏感的處理的良率效能。
傳統上,檢測圓形矽基板(亦稱為晶片)的中心(或邊緣)涉及使用一系列發光二極體和感測器,其中晶圓阻擋從一些發光二極體發射之光的路徑。此種方法足以用於具有鋒利邊緣的圓形晶片。然而,已經確定了對於其他一般形狀(如多邊形;例如,矩形或方形)的基板而言,需要經改善的基板定位設備和方法,因用於檢測圓形基板或晶圓的位置的傳統方法不適於非圓形的基板或晶圓。
本揭示的一或多個實施例係針對包括腔室和基板支撐件的基板處理設備。基板支撐件經配置成支撐基板,該基板包括限定基板厚度的頂表面和底表面。基板支撐件被配置為使基板360度旋轉通過腔室內的複數個旋轉角度位置。將雷射定位以沿著頂表面和底表面之間的厚度引導輻射束。感測器位於雷射的對面,以檢測沿頂表面和底表面之間的基板的厚度傳輸的輻射。控制器被配置為分析由感測器在複數個旋轉角度位置處檢測到的輻射的信號強度,並將複數個旋轉角度位置處的信號強度與腔室內的位置相關聯。
本揭示之另外的實施例係針對極紫外(EUV)光罩生產系統。保持腔室提供通向基板處理真空腔室的入口,該基板處理真空腔室包括複數個端口以提供通向真空腔室的入口,該真空腔室包括物理氣相沉積腔室、預清潔腔室和多陰極PVD腔室。EUV光罩基底裝載系統經配置成在保持腔室和真空腔室中的至少一者中裝載EUV光罩基底,該EUV光罩基底包括限定EUV光罩基底厚度的頂表面和底表面。基板支撐件經配置成支撐EUV光罩基底及使EUV光罩基底旋轉360度通過在保持腔室和真空腔室中的至少一者內的複數個旋轉角度位置。將雷射定位以沿著頂表面和底表面之間的厚度引導輻射束。將感測器定位於雷射的對面,以檢測沿頂表面和底表面之間的基板的厚度傳輸的輻射。控制器經配置為分析由感測器在複數個旋轉角度位置處檢測到的輻射的信號強度,並將複數個旋轉角度位置處的信號強度與真空腔室內的位置相關聯。
本揭示的進一步實施例係針對將基板定位在腔室中的方法。將矩形基板放置在基板支撐件上的腔室中。基板包括限定基板厚度的頂表面和底表面。使基板旋轉360度通過腔室內的複數個旋轉角度位置。雷射沿著頂表面和底表面之間的厚度引導輻射束。檢測來自沿著頂表面和底表面之間的基板的厚度傳輸的輻射束的輻射。分析在複數個旋轉角度位置處檢測到的輻射的信號強度,且信號強度在複數個旋轉角度位置處與腔室內的位置相關聯。
現在將在下文中參考附圖來更全面地描述根據本揭示的各種實施例。可用眾多不同的形式實施本文所描述的工件對準器設備和方法,且該等設備及方法不應被解釋為限於本文所闡述的實施例。取而代之的是,提供此些實施例是為了使本揭示徹底和完整,且向所屬技術領域中具有通常知識者充分傳達系統和方法的範疇。
為了方便和清楚起見,本文將使用如「頂部」、「底部」、 「上部」、 「下部」、「垂直」、「水平」、「橫向」及「縱向」的術語,以描述此等部件及其組成部分相對於裝置的部件的幾何形狀和取向的相對位置和取向,如圖中所示。術語將包括具體提到的詞語、其衍生詞和具有相似含義及/或重要性的詞語。
如本文所使用的,以單數形式敘述且以單詞「一(a)」或「一(an)」開始的元件或操作應被理解為包括多個元件或操作,直到明確敘述了此種排除為止。此外,對本揭示的「一實施例」的引用不旨在限制。另外的實施例亦可包含所述特徵。
如本文所用的「基板」是指在基板上形成之任何在製造過程中在其上進行層處理之基板或材料表面。例如,取決於應用,在其上進行處理的基板表面包括如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、玻璃陶瓷、低膨脹玻璃、超低膨脹玻璃(例如,可從康寧公司獲得的ULE®玻璃)、Zerodur®低膨脹鋰鋁矽酸鹽玻璃、藍寶石和任何其他材料(如金屬、金屬氮化物、金屬合金和其他導電材料)的材料。基板包括但不限於如半導體晶圓的晶圓和由其他類型的材料(如前句中所列出的材料)製成的晶圓。可將基板暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘焙基板表面。除了直接在基板本身的表面上進行層處理之外,在本揭示中,所揭示的任何層處理步驟亦可在基板上形成的底層上執行(如下文更詳細地揭示),且術語「基板表面」旨在包括如上下文所指示的這種底層。因此,例如,在已經將層(層亦可以稱為膜)或部分層沉積到基板表面上的情況下,新沉積的層的暴露表面變為基板表面。
圖1示出了用於處理基板的處理工具或系統100的一個實施例的俯視平面圖,其中處理基板根據本揭示的一或多個實施例係包括沉積(例如,化學氣相沉積、物理氣相沉積和原子層沉積)、蝕刻、加熱、熱處理、烘焙及/或固化。在圖中,一對FOUP(前開式晶圓傳送盒)102供應基板(例如,指定直徑的半導體晶圓),該等基板可由第一機器人臂104接收並放置到低壓保持腔室或保持區域(也稱為裝載鎖定腔室)中,在本文中,該保持區域在被放入至處理腔室109a至109c的基板處理部分108a至108f中的一者之前被稱為保持腔室106。如本文所使用,保持腔室106與處理腔室的區別在於,保持腔室是待處理的基板在移動到處理腔室之前被放置於其中的腔室,該處理腔室其中進行一或多個處理。第二機械臂110可用於將基板從保持腔室106輸送到處理腔室109a至109c並返回。
處理腔室109a至109c的基板處理部分108a至108f可包括一或多個系統部件,該一或多個系統部件係用於沉積(例如,藉由化學氣相沉積、物理氣相沉積和原子層沉積)、退火、加熱、熱處理、固化及/或蝕刻其上的基板或層。因此,腔室109a至109c可為化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、原子層沉積(ALD)腔室、可流動化學氣相沉積(FCVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、退火腔室、熱處理腔室、快速熱處理(RTP)腔室、固化腔室、蝕刻腔室或電漿蝕刻腔室中的任何一者。此腔室列表僅是示例性的而非限制性的。示例性層可為可流動的介電質、多層反射堆疊或吸收層,但可用處理工具形成或處理許多類型的層。在一種配置中,處理腔室的兩對處理部分(例如,108c至108d和108e至108f)可用於將材料沉積在基板上,及第三對處理部分(例如,108a至108b)可用於退火經沉積材料。在另一種配置中,兩對處理部分(例如,108c至108d和108e至108f)可被配置成在基板上沉積層和退火層兩者,而第三對處理部分(例如,108a至108b)可用於沉積層的UV或E束固化。在又一種配置中,所有三對處理部分(例如,108a至108f)可被配置為沉積和固化基板上的層或將特徵蝕刻至沉積層中。
在又一種配置中,兩對處理部分(例如,108c至108d和108e至108f)可用於層的沉積和UV或E束固化兩者,同時第三對處理部分(例如, 108a至108b)可用於退火層。另外,處理部分108a至108f中的一或多者可經配置成處理腔室,且可為濕處理腔室或乾處理腔室。這些處理腔室可包括在包括水分的大氣中加熱層。因此,系統100的實施例可包括濕處理的處理部分108a至108b和退火的處理部分108c至108d,以在沉積層上執行濕式和乾式退火兩者。應當理解,系統100可考慮用於層的沉積、蝕刻、退火及固化腔室的附加配置。在一些實施例中,處理部分被佈置或配置為串聯處理區域或腔室。
鑑於前述內容,本揭示的一或多個實施例的優勢在於,藉由提供一種經配置以偵測非圓形基板的位置及對準該基板的系統來解決當前基板位置檢測和對準設備適用於圓形基板但不適用於非圓形基板的問題。根據一或多個實施例,如本文所使用地,「非圓形」是指不是圓形的形狀,且「非圓形」包括正方形、矩形、三角形、六邊形、多邊形、菱形和平行四邊形。在具體的實施例中,基板是正方形或矩形。
提供一種經配置為精確地檢測如多邊形(例如矩形)基板的非圓形基板的位置的設備在用於極紫外光刻(EUV;亦稱為軟X射線投影光刻)的元件的製造中是非常有益的。EUV已開始取代深紫外光刻技術來用於製造0.13微米(及更小的)最小特徵尺寸的半導體裝置。EUV系統藉由反射(而不是光的傳輸)來操作。通過使用塗有非反射吸收體光罩圖案的一系列鏡子、或透鏡元件、及反射元件或光罩基底,圖案化的光化光被反射到塗有抗蝕劑的半導體晶圓上。
傳統的EUV基底處理可包括(例如)將152 mm×152 mm的基底倍縮光罩放入塗覆工具中以施加各種塗層。如所配置的,方形倍縮光罩夾在載體組件(例如,300 mm載體組件)內,以使倍縮光罩能夠像300 mm晶圓一樣通過塗覆工具轉移。載體組件可包括載體基座、主光罩基底和載體罩。在製造倍縮光罩期間,可在製造處理期間對準載體組件。本文所揭示的設備和方法在各種半導體處理腔室、系統和方法中係有用的。例如,在沉積和蝕刻處理中,檢測及/或監測腔室內晶圓的精確位置是有幫助的,因位置資訊直接影響處理結果。例如,某些導電路徑的放置或多個腔室中的蝕刻取決於晶圓在腔室中的可重複和精確放置的能力。
本揭示的實施例提供了用於處理腔室中的基板的設備和方法,特別是提供了用於將非圓形基板定位在保持腔室或處理腔室中的設備和方法。本文進一步描述了檢測沿頂表面和底表面之間的基板的厚度傳輸的輻射、確定基板旋轉時的信號強度並獲得信號強度模式以確定基板在腔室內相對於腔室的中心位置之位置的方法和設備。本揭示的實施例使得能夠檢測基板是否在腔室中偏離中心定位及基板偏離中心定位的程度。儘管該等方法和設備係用於任何基板形狀,但本揭示的實施例對具有矩形形狀的基板係有用的。本文所揭示的設備和方法對各種半導體處理腔室、系統和方法係有用的,例如,在沉積和蝕刻處理中,其中由於位置資訊直接影響處理結果,檢測和監測晶圓在腔室內的精確位置是至關重要的。例如,某些導電路徑的放置或多個腔室中的蝕刻取決於晶圓在腔室中的可重複和精確放置的能力。另外,根據一或多個實施例,還可檢測和量化腔室中的基板的彎曲和變形。
現在參考圖2A及圖2B與圖3A至圖3D,根據本揭示的一或多個實施例,提供了用於處理多邊形基板(具體而言為矩形基板202)的基板處理設備200。在一些實施例中,處理矩形基板202之步驟涉及將基板放置在支撐基板202的腔室表面204(例如,基板支撐件225)上。基板平面中的腔室表面204具有中心位置203。如圖2A及圖2B與圖3A至圖3D所示的基板202的形狀為矩形,並包括第一角202a、第二角202b、第三角202c和第四角202d。在第一角202a和第二角之間延伸的線限定第一側202e、在第二角202b和第三角202c之間延伸的線限定第二側202f、在第三角202c和第四角202d之間延伸的線限定第三側202g及在第四角202d和第一角202a之間延伸的線限定了基板202的第四側202h。基板202具有頂表面205和底表面207,且頂表面205和底表面之間的距離限定厚度「t」,如圖2B所示。在一個實例中,腔室表面204是用於處理EUV倍縮光罩或EUV光罩的腔室的表面,且基板202是EUV倍縮光罩基底或EUV光罩基底。
在一些實施例中,圖1中所示的第一機器人臂104和第二機器人臂110經配置成將基板202放置在腔室表面204上。第一機器人臂104和第二機器人臂110在X-Y平面中在基板202中移動。因此,如箭頭220所示,基板202在X方向上前後移動,並且如箭頭222所示,基板202在Y方向上前後移動。此外,如箭頭224所示,基板藉由機器人臂或基板支撐件225(在一些實施例中是旋轉基板支撐件225)在X-Y平面內旋轉。運動由驅動機構控制,該等驅動機構包括一或多個電馬達、變速器(例如,導螺桿)、皮帶和滑輪、線性和旋轉軸承和機械零件。
仍然參考圖2A至圖2B及圖3A至圖3D,設備200包括位置檢測系統,該位置檢測系統被配置為量測非圓形基板(例如,多邊形基板,並且在一些實施例中,展示為矩形透明基板202)的表面輪廓。設備包括輻射源250,該輻射源250掃描基板202並與控制器270通訊。
基板支撐件225經配置為使基板360度旋轉通過腔室204內的複數個旋轉角度位置。控制器控制基板支撐件225的旋轉。在一些實施例中,輻射源250是雷射,該雷射經定位以沿頂表面205和底表面207之間的厚度「t」如虛線箭頭211所示引導輻射束。感測器252位於輻射源250的對面,以檢測沿頂表面和底表面之間的基板厚度傳輸的輻射。控制器270被配置為分析由感測器252在複數個旋轉角度位置處檢測到的輻射的信號強度,並將複數個旋轉角度位置處的信號強度與腔室內的位置相關聯。
控制器270經配置為分析在複數個旋轉角度位置處沿著多邊形基板(如矩形基板)的厚度傳輸的輻射的信號強度。在一些實施例中,控制器經配置為分析沿矩形基板的厚度「t」傳輸的信號強度。在一些實施例中,控制器270經配置成在基板202旋轉通過複數個旋轉角度位置時分析信號強度模式與基板的旋轉角度位置的關係。在一些實施例中,控制器270控制基板支撐件225的旋轉運動。
在一些實施例中,基板202對於由輻射源250發射的輻射211的波長是透明的。在此種實施例中,輻射感測器252與輻射源250相對地定位,以檢測沿著透明基板202的厚度「t」所傳輸的輻射。在一些實施例中,輻射源250包括雷射源,且輻射感測器包括檢測雷射輻射的雷射感測器。根據一或多個實施例,雷射感測器用於基於位置或光強度檢測非圓形基板的存在。雷射感測器的優勢包括遠距離、可見光束點和精確檢測。
圖3A示出了類似於圖2A至圖2B中所示的基板202的矩形基板202的俯視平面圖,其中矩形基板202具有寬度「W」和大於該寬度「W」的長度「L」,然而在一些實施例中,L和W係相等的,從而導致矩形基板202為正方形。矩形基板202沿對角線「D」的厚度具有最長的尺寸。如圖3B所示,基板202未在X-Y平面中對準。控制器270向輻射源250(例如,雷射源)發送信號以發射輻射211之束(例如,沿著基板202的厚度的雷射輻射,其由輻射感測器252(例如,雷射感測器)量測)。圖3B示出為設備200的側視圖,其中設備200有輻射源250,輻射源250發射由沿基板202的厚度傳輸的輻射感測器252所感測的輻射211。在第一旋轉角度位置處沿著基板的厚度進行第一量測,如圖3B中的線b1 所示。在圖3C中,控制器270發送信號以將基板的位置旋轉到第二旋轉角度位置,及以箭頭224的方向旋轉基板支撐件225而已改變基板202的旋轉角度位置。控制器270將另一信號發送到輻射源250和輻射感測器252以跨越基板202獲得第二量測值,如線b2 所示(該線b2 比b1 短)。如圖3C所示,控制器向輻射源250發送另一信號以沿著基板202的厚度傳輸輻射束311,且輻射感測器沿著基板202的厚度在距離b3 處獲得第三量測值,該距離b3 小於b2
當輻射源250沿著基板202的厚度掃描輻射時,控制器270如箭頭224所示控制基板202的旋轉運動。應當理解,圖3B至圖3D僅表示三次沿基板202的厚度的量測,然而,在一或多個實施例中,輻射源250、輻射感測器252和控制器協作以沿著基板202的厚度「t」獲得複數個量測值。輻射源250和輻射感測器252安裝在托架(未示出)上,該托架由電動馬達、氣動驅動器或液壓驅動器(未示出)移動,以增加或減小輻射源250和輻射感測器之間的距離,這取決於基板的尺寸。控制器270包括中央處理單元272,該中央處理單元272經配置成接收來自輻射感測器252的輻射強度量測值,該等輻射強度量測值基於基板的性質和輻射的波長被轉換為信號強度或與信號強度相關。取決於材料的性質(例如,石英、玻璃等),特定波長處的輻射的傳輸是預先已知的,或據經驗以給定的路徑長度確定特定波長的輻射的傳輸,如圖4A所示。基於材料性質和路徑長度,確定各種路徑長度的信號強度,如圖4B所示。
包括中央處理單元(CPU)272的控制器270進一步包括記憶體274和支援電路276,且控制器270藉由通信鏈路(未示出)耦合到輻射源250和輻射感測器252,以助於控制基板的裝載、基板的卸載、量測期間基板的旋轉及經放置在腔室中的基板的重新定位,使得基板放置在腔室表面204的中心位置203中。記憶體274為距裝置或CPU 272本端或遠端的任何電腦可讀取媒體,如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位存儲器。支援電路276耦合到CPU 272,以用習知方式支援CPU 272。在一些實施例中,這些電路包括快取、電源供應器、時鐘電路、輸入/輸出電路和子系統等。存儲在記憶體274中的軟體常式或一系列程式指令由CPU 272執行時,該等軟體常式或一系列程式指令使得設備在複數個旋轉角度位置進行量測(參照圖3A至圖3D所述)。
應當理解,沿著基板的厚度的複數個量測值包括任何合適的數量,以精確地確定基板202相對於腔室表面204的中心點203的位置。根據一或多個實施例,是以90度、45度、30度、20度、15度、10度、9度、8度、7度、6度、5度、4度、3度、2度、1度、0.5度、0.1度或0.01度的增量來進行量測。
在一些實施例中,控制器270和記憶體274記錄由輻射感測器252在複數個旋轉角度位置處獲得的信號強度。根據一些實施例,控制器270被配置為在複數個旋轉角度位置處生成信號強度模式。信號強度模式由CPU 272產生,該CPU 272處理由記憶體所存儲的複數個旋轉角度位置處的信號強度的存儲值且產生當基板旋轉360度時之信號強度對旋轉角度位置的曲線圖。
圖5B示出了當圖5A中所示的矩形基板旋轉360度時路徑長度對於旋轉角度位置的代表性曲線圖。最短路徑長度在矩形的寬度W處,而最長路徑長度在矩形的長度L處。如上所述,信號強度與沿著基板厚度的光路的吸收損耗和路徑長度成反比,且因此,CPU 272確定當基板旋轉時與每個旋轉角度位置處的每個量測的路徑長度相關的信號強度。圖5B表示在中心位置203處完全居中於腔室中的基板之路徑長度與角度的理想分佈,如鋸齒或正弦圖案所示。與圖5B中所示之與信號強度相關的模式的偏差接著與基板位置的偏心率相關。
因此,根據一些實施例,將矩形基板放置在腔室中並將如雷射的輻射源引導穿過腔室並沿著基板的厚度到達感測器,來確定腔室中的基板位置的偏心率。藉由將基板旋轉360度並記錄由輻射感測器獲取的信號,接著藉由比較由CPU產生的信號強度模式與基板完全居中時的已知圖案來確定基板是否在位置之外。例如,若基板處於正確位置(中心點203)中,則信號應具有鋸齒形或正弦形;亦即,光束路徑將在基板的寬度處為短且在基板的對角線處較長。
圖6示出了具有寬度W=2a和長度L=2a的方形基板202的實例。假設基板在y方向上偏離中心距離「e」,則當腔室的基板支撐件旋轉時,路徑長度將不同於鋸齒或正弦圖案。最大值將出現在大於45度的角度處,或
Figure 02_image001
方程式1
當e=0時,最大值應為:
Figure 02_image003
方程式2
然而,對於圖6中所示的基板,最大值是:
Figure 02_image005
方程式3
對於360度旋轉,圖形圖案將不是鋸齒或控制器圖案,且將不重複四次。在一或多個實施例中,當處理器(控制器270)獲得不重複四次或不是鋸齒或正弦圖案的圖案時,或換言之,與預定圖案的信號強度模式不相關時,控制器270將發送信號以調節基板在腔室中的位置(因基板不在中心位置203中)。因此,控制器270向如圖1所示的機器人臂發送信號以拾取基板並將該基板再次放置在更靠近腔室中心的位置,且設備進行量測以確認基板202已被放置在中心位置203中。因此,在一些實施例中,控制器被配置為重新定位腔室中的基板202。控制器向機器人臂(例如上文參考圖1所描述的機器人臂之一者)發送信號,以拾取基板並將該基板放置得更靠近腔室表面204的中心位置203或放置在腔室表面204的中心位置203處。
如上所述,在一些實施例中,根據一些實施例的設備利用雷射輻射。在一或多個實施例中,雷射輻射包括光譜的紫外光區域、可見光區域和紅外光區域。在一些實施例中,用於雷射的紫外輻射由180和400 nm的波長組成。在一些實施例中,可見光區域由波長在400 nm和700 nm之間的輻射組成。在一些實施例中,光譜的紅外光區域由波長在700 nm和1 mm之間的輻射組成。
如上所述,設備和方法有益於EUV裝置(如EUV光罩)的製造。圖7描繪了EUV光罩生產系統300,該EUV光罩生產系統300包括用於處理多邊形基板的設備200,該多邊形基板例如為根據一或多個實施例之如本文所述的由石英、二氧化矽、玻璃或超低膨脹玻璃組成的矩形透明基板。EUV光罩生產系統300可包括光罩基底裝載和載體處理系統302,該光罩基底裝載和載體處理系統302經配置成接收一或多個光罩基底304,該一或多個光罩基底304為多邊形或為多邊形且是透明的。保持腔室306提供到基板處理真空腔室308的入口。在所示的實施例中,基板處理真空腔室308包含兩個真空腔室,例如第一真空腔室310和第二真空腔室312。在第一真空腔室310內為第一基板處理系統314,而在第二真空腔室312中是第二基板處理系統316。
基板處理真空腔室308可具有圍繞其周邊的複數個端口,以用於附接各種其他系統或腔室並提供到這些各種其他系統或腔室的入口。在此非限制性實施例中,第一真空腔室310具有脫氣腔室318、第一物理氣相沉積腔室320、第二物理氣相沉積(PVD)腔室322和預清潔腔室324。此外,第二真空腔室112可包括第一多陰極PVD腔室326、可流動化學氣相沉積(FCVD)腔室328、固化腔室330和經連接到第二真空腔室的第二多陰極PVD腔室332。
第一基板處理系統314能夠在保持腔室306和圍繞第一真空腔室310的周邊且通過連續真空中的狹縫閥的各個腔室之間移動基板(如基板334)。第二基板處理系統316能夠圍繞第二真空腔室312移動基板(如基板336),同時將基板保持在連續真空中。集成的EUV光罩生產系統300可與倍縮光罩處理系統一起操作。在載體處理系統302鄰近或附近使用用於處理多邊形基板的設備200。具體而言,使用經配置以量測非圓形基板的表面輪廓的三維(3D)輻射映射裝置260,以精確放置如本文參照圖2和圖3A至圖3B所述的基板334和基板336於各個腔室中,亦即脫氣腔室318、第一物理氣相沉積腔室320、第二物理氣相沉積腔室322、預清潔腔室324、第一多陰極PVD腔室326、可流動的化學氣相沉積(FCVD)腔室328、固化腔室330和第二多陰極PVD腔室332。多邊形基板在這些腔室中的一或多者中的精確放置對於滿足EUV光罩及EUV倍縮光罩的生產要求和精確製造是至關重要的。現有系統和設備不能精確地檢測位置和放置非圓形基板,具體而言係在基板移動時(如當多邊形基板在機器人臂的機器人刀片上且被移動到保持腔室或處理腔室中時)不能精確地檢測基板相對於機器人臂上的位置或點的位置。
在整篇本說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的引用意味著結合實施例描述的特定特徵、結構、材料或特性包括在本揭示的至少一個實施例中。因此,在整篇說明書中各處出現的如「在一或多個實施例中」、「在某些實施例中」、「在一實施例中」或「在一實施例中」的短語不一定是指本揭示的相同實施例。此外,特定特徵、結構、材料或特性可在一或多個實施例中以任何合適的方式組合。
儘管已參考特定實施例描述了本揭示,但應該理解,這些實施例僅僅是對本揭示的原理和應用的說明。對於所屬技術領域中具有通常知識者顯而易見的是,在不脫離本揭示的精神和範疇的情況下,可對本揭示的方法和設備進行各種修改和變化。因此,本揭示旨在包括在所附申請專利範圍及其等同物的範疇內的修改和變化。
100:系統 102:FOUP(前開式晶圓傳送盒) 104:第一機器人臂 106:保持腔室 108a-108f:基板處理部分 109a-109c:處理腔室 200:基板處理設備 202:矩形基板 202a:第一角 202b:第二角 202c:第三角 202d:第四角 202e:第一側 202f:第二側 202g:第三側 202h:第四側 203:中心位置 204:腔室表面 205:頂表面 207:底表面 211:輻射 220:箭頭 222:箭頭 224:箭頭 225:基板支撐件 250:輻射源 252:感測器 270:控制器 272:中央處理單元 274:記憶體 276:支援電路 300: EUV光罩生產系統 302:光罩基底裝載和載體處理系統 304:光罩基底 306:保持腔室 308:基板處理真空腔室 310:第一真空腔室 312:第二真空腔室 314:第一基板處理系統 316:第二基板處理系統 318:脫氣腔室 320:第一物理氣相沉積腔室 322:第二物理氣相沉積腔室 324:預清潔腔室 330:固化腔室 332:第二多陰極PVD腔室 334:基板 336:基板
因此,可詳細地理解本揭示的上述特徵的方式,可通過參考實施例獲得上文所簡要概述的本揭示的更具體的描述,其中一些實施例經圖示於附圖中。然而,應注意到的是,附圖係示出了本揭示的實施例,而因此不應視為是對其範圍的限制,本揭示可允許其他同等有效的實施例。
圖1是根據本揭示的一或多個實施例所使用的示例性處理工具的一個實施例的俯視平面圖;
圖2A是根據本揭示的一或多個實施例的基板對準設備的示意性俯視圖;
圖2B是圖2A中所示的基板對準設備的一部分的側視圖;
圖3A是在根據本揭示的實施例的對準設備中經對準的矩形基板的俯視平面圖;
圖3B是基板對準設備的俯視平面圖,該俯視平面圖示出了根據本揭示的實施例對準的矩形基板;
圖3C是基板對準設備的俯視平面圖,該俯視平面圖示出了根據本揭示的實施例對準的矩形基板;
圖3D是基板對準設備的俯視平面圖,該俯視平面圖示出了根據本揭示的實施例對準的矩形基板;
圖4A是根據實施例之在量測過程期間的光束路徑長度的曲線圖;
圖4B是根據實施例之在量測過程期間的光束信號強度的曲線圖;及
圖5A是在根據本揭示之實施例的基板對準設備中經對準的矩形基板的俯視平面圖;
圖5B是圖5A中的基板的路徑長度與旋轉角度的曲線圖;
圖6是根據本揭示的實施例之基板對準設備的俯視平面圖;及
圖7是根據本揭示的某些態樣的示例性基板處理系統的俯視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:基板處理設備
202:矩形基板
202a:第一角
202b:第二角
202c:第三角
202d:第四角
202e:第一側
202f:第二側
202g:第三側
202h:第四側
203:中心位置
204:腔室表面
211:輻射
220:箭頭
222:箭頭
224:箭頭
250:輻射源
252:感測器
270:控制器
272:中央處理單元
274:記憶體
276:支援電路

Claims (20)

  1. 一種基板處理設備,包括: 一腔室; 一基板支撐件,該基板支撐件被配置為支撐一基板,該基板包括限定一基板厚度的一頂表面和一底表面,該基板支撐件經配置成使該基板360度旋轉通過該腔室內的複數個旋轉角度位置; 一雷射,該雷射經定位成沿著該頂表面和該底表面之間的該厚度引導一輻射束; 一感測器,該感測器位於該雷射對面,以檢測沿著該頂表面和該底表面之間的該基板的該厚度傳輸的輻射;及 一控制器,該控制器經配置成分析由該感測器在該複數個旋轉角度位置處檢測到的該輻射的一信號強度,並將該複數個旋轉角度位置處的該信號強度與該腔室內的一位置相關聯。
  2. 如請求項1所述的基板處理設備,其中該控制器經配置成分析在該複數個旋轉角度位置處沿著一多邊形基板的該厚度傳輸的該輻射的該信號強度。
  3. 如請求項2所述的基板處理設備,其中該控制器經配置成分析沿著一矩形基板的該厚度傳輸的該信號強度。
  4. 如請求項3所述的基板處理設備,其中該控制器經配置成分析一信號強度模式與一旋轉角度位置的關係。
  5. 如請求項4所述的基板處理設備,其中該感測器包括一雷射感測器。
  6. 如請求項5所述的基板處理設備,其中該控制器控制該基板支撐件的旋轉運動。
  7. 如請求項6所述的基板處理設備,其中該控制器包括一記憶體,該記憶體用於在複數個旋轉角度位置處記錄一信號強度。
  8. 如請求項7所述的基板處理設備,其中該控制器被配置成在該複數個旋轉角度位置處產生一信號強度模式。
  9. 如請求項8所述的基板處理設備,其中該控制器被配置為將該信號強度模式與一預定信號強度模式進行比較。
  10. 如請求項9所述的基板處理設備,其中該預定信號強度模式與以該基板支撐件為中心的該基板相關聯。
  11. 如請求項10所述的基板處理設備,其中該控制器被配置為重新定位該基板。
  12. 一種極紫外(EUV)光罩生產系統,包括: 一保持腔室,該保持腔室用於提供通向一基板處理真空腔室的入口,該基板處理真空腔室包括複數個端口以提供通向一真空腔室的入口,該真空腔室包括一物理氣相沉積腔室、一預清潔腔室和一多陰極PVD腔室; 一EUV光罩基底裝載系統,該EUV光罩基底裝載系統經配置成在該保持腔室和該真空腔室中的至少一者中裝載一EUV光罩基底,該EUV光罩基底包括限定一EUV光罩基底厚度的一頂表面和一底表面; 一基板支撐件,該基板支撐件經配置成支撐該EUV光罩基底並使該EUV光罩基底旋轉360度通過該保持腔室和該真空腔室中的至少一者內的複數個旋轉角度位置; 一雷射,該雷射經定位成沿著該頂表面和該底表面之間的該厚度引導一輻射束; 一感測器,該感測器位於該雷射對面,以檢測沿著該頂表面和該底表面之間的該基板的該厚度傳輸的輻射;及 一控制器,該控制器經配置成分析由該感測器在該複數個旋轉角度位置處檢測到的該輻射的一信號強度,並將該複數個旋轉角度位置處的該信號強度與該真空腔室內的一位置相關聯。
  13. 如請求項12所述的EUV光罩生產系統,其中該控制器經配置成分析沿著一矩形基板的該厚度傳輸的該信號強度,並分析一信號強度模式與該複數個旋轉角度位置的關係。
  14. 如請求項13所述的EUV光罩生產系統,其中該控制器控制該基板支撐件的旋轉運動、將該信號強度模式與一預定信號強度模式進行比較並重新定位該基板。
  15. 一種將一基板定位在一腔室中的方法,包括以下步驟: 將一矩形基板放置在一基板支撐件上的一腔室中,該基板包括限定一基板厚度的一頂表面和一底表面; 使該基板旋轉360度通過該腔室內的複數個旋轉角度位置; 引導一雷射以沿著該頂表面和該底表面之間的該厚度引導一輻射束; 檢測來自沿著該頂表面和該底表面之間的該基板的該厚度傳輸的該輻射束的輻射;及 分析在該複數個旋轉角度位置處檢測到的該輻射的一信號強度,並將該複數個旋轉角度位置處的該信號強度與該腔室內的一位置相關聯。
  16. 如請求項15所述的方法,進一步包括以下步驟:使用一控制器在該複數個旋轉角度位置處產生一信號強度模式。
  17. 如請求項16所述的方法,進一步包括以下步驟:將該信號強度模式與一預定信號強度模式進行比較。
  18. 如請求項17所述的方法,其中該預定信號強度模式與處於該基板支撐件上的一中心位置的該基板相關聯。
  19. 如請求項18所述的方法,進一步包括以下步驟:將該腔室中的該基板重新定位到一中心位置。
  20. 如請求項19所述的方法,進一步包括以下步驟:使用一機器人臂來重新定位該基板。
TW108124694A 2018-07-20 2019-07-12 基板定位設備及方法 TWI794530B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862701274P 2018-07-20 2018-07-20
US62/701,274 2018-07-20

Publications (2)

Publication Number Publication Date
TW202018428A TW202018428A (zh) 2020-05-16
TWI794530B true TWI794530B (zh) 2023-03-01

Family

ID=69161853

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124694A TWI794530B (zh) 2018-07-20 2019-07-12 基板定位設備及方法

Country Status (5)

Country Link
US (1) US11036125B2 (zh)
JP (1) JP7072713B2 (zh)
KR (1) KR102493187B1 (zh)
TW (1) TWI794530B (zh)
WO (1) WO2020018635A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005184034A (ja) * 2005-03-15 2005-07-07 Nikon Corp 露光装置、及び該露光装置を用いたパターン形成方法
JP2008210951A (ja) * 2007-02-26 2008-09-11 Toshiba Corp 位置検出装置および位置検出方法
JP2013074012A (ja) * 2011-09-27 2013-04-22 Murata Mach Ltd 板状体の検出装置とケースポート及び保管装置
TW201743401A (zh) * 2016-03-29 2017-12-16 蘭姆研究公司 基板處理系統中用以對準測量裝置之系統及方法
TW201816521A (zh) * 2016-08-05 2018-05-01 荷蘭商Asml荷蘭公司 用於獲得診斷資訊的方法與設備及用於控制工業製程的方法與設備

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6157450A (en) 1998-03-09 2000-12-05 Chapman Instruments Automated optical surface profile measurement system
US6393337B1 (en) * 2000-01-13 2002-05-21 Applied Materials, Inc. Method and apparatus for orienting substrates
KR100649926B1 (ko) * 2001-11-14 2006-11-27 로제 가부시키가이샤 웨이퍼 위치 결정 방법 및 장치, 처리 시스템, 웨이퍼위치 결정 장치의 웨이퍼 시트 회전 축선 위치 결정 방법
JP4744458B2 (ja) * 2007-01-31 2011-08-10 東京エレクトロン株式会社 基板位置決め装置および基板位置決め方法
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
KR101023948B1 (ko) 2008-09-01 2011-03-22 주식회사 싸이맥스 웨이퍼의 중심 검출 장치 및 그 방법
US8314371B2 (en) 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
USRE49732E1 (en) * 2012-03-08 2023-11-21 Asml Netherlands B.V. Charged particle lithography system with alignment sensor and beam measurement sensor
CN107481960A (zh) * 2017-08-15 2017-12-15 北京创昱科技有限公司 一种方形晶片偏移量的测量、校准装置及其方法
TWI805795B (zh) 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005184034A (ja) * 2005-03-15 2005-07-07 Nikon Corp 露光装置、及び該露光装置を用いたパターン形成方法
JP2008210951A (ja) * 2007-02-26 2008-09-11 Toshiba Corp 位置検出装置および位置検出方法
JP2013074012A (ja) * 2011-09-27 2013-04-22 Murata Mach Ltd 板状体の検出装置とケースポート及び保管装置
TW201743401A (zh) * 2016-03-29 2017-12-16 蘭姆研究公司 基板處理系統中用以對準測量裝置之系統及方法
TW201816521A (zh) * 2016-08-05 2018-05-01 荷蘭商Asml荷蘭公司 用於獲得診斷資訊的方法與設備及用於控制工業製程的方法與設備

Also Published As

Publication number Publication date
KR102493187B1 (ko) 2023-01-27
TW202018428A (zh) 2020-05-16
JP7072713B2 (ja) 2022-05-20
KR20210021137A (ko) 2021-02-24
WO2020018635A1 (en) 2020-01-23
US20200026177A1 (en) 2020-01-23
JP2021531649A (ja) 2021-11-18
US11036125B2 (en) 2021-06-15

Similar Documents

Publication Publication Date Title
TWI805795B (zh) 基板定位設備與方法
JP7430668B2 (ja) オンザフライ方式の自動ウェハセンタリング方法および装置
TWI621927B (zh) 基板支架、微影設備及製造裝置之方法
TWI397954B (zh) 基板之處理方法、程式及電腦儲存媒體與基板處理系統
US8550031B2 (en) Cluster tool architecture for processing a substrate
KR20080046107A (ko) 에칭 처리를 이용하여 계량학을 통합하기 위한 방법 및장치
TWI794530B (zh) 基板定位設備及方法
KR20210100193A (ko) 고온에서 가스 분배 디바이스와 기판 지지부 사이의 거리 측정
WO2020196179A1 (ja) 成膜装置、成膜方法、および成膜システム
KR20180006710A (ko) 기판 처리 장치
JP2005536885A (ja) ステージ上で基板を整列するための方法
TW202101641A (zh) 分割雙面晶圓及光罩夾具
JP2014082288A (ja) 露光方法、露光装置および物品の製造方法
KR20100043379A (ko) 공기조화기
JP2014086579A (ja) 真空チャンバ用反射部材