KR102493187B1 - 기판 포지셔닝 장치 및 방법들 - Google Patents

기판 포지셔닝 장치 및 방법들 Download PDF

Info

Publication number
KR102493187B1
KR102493187B1 KR1020217004723A KR20217004723A KR102493187B1 KR 102493187 B1 KR102493187 B1 KR 102493187B1 KR 1020217004723 A KR1020217004723 A KR 1020217004723A KR 20217004723 A KR20217004723 A KR 20217004723A KR 102493187 B1 KR102493187 B1 KR 102493187B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
signal strength
controller
radiation
Prior art date
Application number
KR1020217004723A
Other languages
English (en)
Other versions
KR20210021137A (ko
Inventor
알라 모라디안
트레비스 테쉬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210021137A publication Critical patent/KR20210021137A/ko
Application granted granted Critical
Publication of KR102493187B1 publication Critical patent/KR102493187B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

챔버 내에서 기판을 프로세싱하기 위해, 특히 홀딩 챔버 또는 프로세싱 챔버 내에서 비-원형 기판을 포지셔닝하기 위해 사용되는 장치 및 방법들이 본원에서 설명된다. 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사를 검출하고, 기판이 회전됨에 따라 신호 강도를 결정하고 그리고 신호 강도 패턴을 획득하여 중심 포지션에 대한 챔버 내의 기판의 포지션을 결정하는 방법들 및 장치가 본원에서 추가로 설명된다.

Description

기판 포지셔닝 장치 및 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들과 같은 워크피스들을 프로세싱 및 정렬하는 것에 관한 것으로, 더 구체적으로는 포지셔닝 장치를 활용하여 워크피스들을 프로세싱하기 위한 장치 및 방법에 관한 것이다.
[0002] 집적 회로들은, 홀딩 또는 "로드 록" 챔버들을 포함하는 챔버들, 및 증착 챔버들, 열적 프로세싱 챔버들, 에칭 챔버들, 플라즈마 챔버들, 및 기판을 프로세싱하기 위한 다른 챔버들을 포함하는(그러나 이에 제한되지 않음) 다양한 기판 프로세싱 챔버들에서 기판들을 배치하는 것을 수반하는 프로세스들에 의해 제조된다. 하나의 챔버에서 하나보다 많은 프로세스가 수행될 수 있다. 예컨대, 플라즈마 프로세싱, 열적 프로세싱 및 에칭은 단일 챔버 또는 별개의 챔버들에서 수행될 수 있다. 많은 반도체 프로세싱 시스템들에서, 기판들은 로봇식 암들 또는 로봇 암들을 포함하는 하나 이상의 FOUP(front opening unified pod)들에 의해 챔버들에 공급된다. 기판 상에 패터닝된 재료를 생성하는 것과 같은 기판들의 프로세싱은 노출된 재료의 증착 및 제거를 위한 제어된 방법들을 필요로 한다. 그러나, 증착 및 제거 전에, 기판의 정확한 배치가 프로세스 제어의 중요한 양상이다.
[0003] FOUP에서 기판 배치의 변화, 프로세싱 챔버들에서 기판 배치의 변화, 및 로봇 암들로부터의 웨이퍼 이송의 일관성 및 반복성과 같은 여러 이유들로 인해, 기판들이 정확하게 피킹(pick)되지 않고 유사하게 배치되지 않는다. 따라서, 상이한 기판들이 프로세스 챔버에서 정확히 동일한 포지션에 배치되지 않을 가능성이 있다. 이는, 흐름 조건, 가시선, 또는 다른 프로세스-중요 물리 또는 화학의 미세한 변화에 민감한 프로세스들에 대한 수율 성능에 영향을 미칠 수 있다.
[0004] 전통적으로, 원형 실리콘 기판(웨이퍼로 또한 지칭됨)의 중심(또는 에지)을 검출하는 것은 일련의 발광 다이오드들 및 센서들의 사용을 수반하며, 여기서 웨이퍼는 발광 다이오드들 중 일부로부터 방출되는 광의 경로를 차단한다. 이 접근법은 뚜렷한(sharp) 에지를 가진 원형 웨이퍼들에 충분하다. 그러나, 원형 기판들 또는 웨이퍼들의 포지션을 검출하기 위한 전통적인 방법들은 원형이 아닌 기판들 또는 웨이퍼들에 적합하지 않기 때문에, 다른 일반적인 형상들, 이를테면, 다각형, 예컨대 직사각형 또는 정사각형 기판에 대해서는 개선된 기판 포지셔닝 장치 및 방법들이 필요하다는 것이 판명되었다.
[0005] 본 개시내용의 하나 이상의 실시예들은 챔버 및 기판 지지부를 포함하는 기판 프로세싱 장치에 관한 것이다. 기판 지지부는, 기판 두께를 정의하는 최상부 표면과 최하부 표면을 포함하는 기판을 지지하도록 구성된다. 기판 지지부는 챔버 내에서 기판을 복수의 회전 각도 포지션들을 통해 360도 회전시키도록 구성된다. 레이저는 최상부 표면과 최하부 표면 사이의 두께를 따라 방사 빔을 지향시키도록 포지셔닝된다. 센서는 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사를 검출하도록 레이저 반대편에 포지셔닝된다. 제어기는, 복수의 회전 각도 포지션들에서 센서에 의해 검출된 방사의 신호 강도를 분석하고 그리고 복수의 회전 각도 포지션들에서의 신호 강도를 챔버 내의 포지션과 상관시키도록 구성된다.
[0006] 본 개시내용의 추가적인 실시예들은 EUV(extreme ultraviolet) 마스크 생산 시스템에 관한 것이다. 홀딩 챔버는, 물리 기상 증착 챔버, 예비-세정 챔버, 및 멀티-캐소드 PVD 챔버를 포함하는 진공 챔버에 대한 액세스를 제공하기 위한 복수의 포트들을 포함하는 기판 핸들링 진공 챔버에 대한 액세스를 제공한다. EUV 마스크 블랭크 로딩 시스템은 홀딩 챔버 및 진공 챔버 중 적어도 하나에서, EUV 마스크 블랭크 두께를 정의하는 최상부 표면과 최하부 표면을 포함하는 EUV 마스크 블랭크를 로딩하도록 구성된다. 기판 지지부는 홀딩 챔버 및 진공 챔버 중 적어도 하나 내에서 EUV 마스크 블랭크를 지지하고 그리고 EUV 마스크 블랭크를 복수의 회전 각도 포지션들을 통해 360도 회전시키도록 구성된다. 레이저는 최상부 표면과 최하부 표면 사이의 두께를 따라 방사 빔을 지향시키도록 포지셔닝된다. 센서는 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사를 검출하도록 레이저 반대편에 포지셔닝된다. 제어기는, 복수의 회전 각도 포지션들에서 센서에 의해 검출된 방사의 신호 강도를 분석하고 그리고 복수의 회전 각도 포지션들에서의 신호 강도를 진공 챔버 내의 포지션과 상관시키도록 구성된다.
[0007] 본 개시내용의 추가적인 실시예들은 챔버 내의 기판을 포지셔닝하는 방법들에 관한 것이다. 직사각형 기판이 챔버 내에서 기판 지지부 상에 배치된다. 기판은 기판 두께를 정의하는 최상부 표면과 최하부 표면을 포함한다. 기판은 챔버 내에서 복수의 회전 각도 포지션들을 통해 360도 회전된다. 레이저는 최상부 표면과 최하부 표면 사이의 두께를 따라 방사 빔을 지향시킨다. 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사 빔으로부터의 방사가 검출된다. 복수의 회전 각도 포지션들에서 검출된 방사의 신호 강도가 분석되고 그리고 복수의 회전 각도 포지션들에서의 신호 강도는 챔버 내의 포지션과 상관된다.
[0008] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 이상의 실시예들에 따라 사용되는 예시적인 프로세싱 툴의 일 실시예의 평면도이고;
[0010] 도 2a는 본 개시내용의 하나 이상의 실시예들에 따른 기판 정렬 장치의 개략적인 평면도이고;
[0011] 도 2b는 도 2a에 도시된 기판 정렬 장치의 일부의 측면도이고;
[0012] 도 3a는 본 개시내용의 실시예에 따라 정렬 장치에서 정렬되는 직사각형 기판의 평면도이고;
[0013] 도 3b는 본 개시내용의 실시예에 따라 정렬되는 직사각형 기판을 도시하는, 기판 정렬 장치의 평면도이고;
[0014] 도 3c는 본 개시내용의 실시예에 따라 정렬되는 직사각형 기판을 도시하는, 기판 정렬 장치의 평면도이고;
[0015] 도 3d는 본 개시내용의 실시예에 따라 정렬되는 직사각형 기판을 도시하는, 기판 정렬 장치의 평면도이고;
[0016] 도 4a는 실시예에 따른 측정 프로세스 동안의 빔 경로 길이의 그래프이고;
[0017] 도 4b는 실시예에 따른 측정 프로세스 동안의 빔 신호 강도의 그래프이고; 그리고
[0018] 도 5a는 본 개시내용의 실시예에 따라 기판 정렬 장치에서 정렬되는 직사각형 기판의 평면도이고;
[0019] 도 5b는 도 5a의 기판의, 경로 길이 대(versus) 회전 각도의 그래프이고;
[0020] 도 6은 본 개시내용의 실시예에 따른 기판 정렬 장치의 평면도이고; 그리고
[0021] 도 7은 본 개시내용의 특정 양상들에 따른 예시적인 기판 프로세싱 시스템의 평면도이다.
[0022] 본 개시내용에 따른 다양한 실시예들이 이제, 첨부 도면들을 참조하여 이하에서 보다 완전히 설명될 것이다. 본원에서 설명되는 워크피스 얼라이너 장치 및 방법들은 다수의 상이한 형태들로 구현될 수 있으며, 본원에서 제시되는 실시예들로 제한되는 것으로 해석되지 않아야 한다. 대신에, 본 개시내용이 철저하고 완전하게 되고, 시스템 및 방법의 범위를 당업자들에게 완전히 전달하게 되도록, 이러한 실시예들이 제공된다.
[0023] 편의성 및 명확성을 위해, "최상부", "최하부", "상부", "하부", "수직", "수평", "측방향", 및 "길이방향"과 같은 용어들은, 이러한 컴포넌트들 및 그들의 구성 부분들의 상대적인 배치 및 배향을 도면들에 나타낸 디바이스의 컴포넌트의 기하학적 구조 및 배향과 관련하여 설명하기 위해 본원에서 사용될 것이다. 용어는 구체적으로 언급된 단어들, 이들의 파생어들, 및 유사한 뜻 및/또는 의미의 단어들을 포함할 것이다.
[0024] 본원에서 사용되는 바와 같이, 단수형으로 언급되고 단수 표현으로 진행되는 엘리먼트 또는 동작은, 복수의 배제가 명시적으로 언급될 때까지, 복수의 엘리먼트들 또는 동작들을 포함하는 것으로 이해되어야 한다. 게다가, 본 개시내용의 "일 실시예"에 대한 참조들은 제한적인 것으로 의도되지 않는다. 추가적인 실시예들이 또한, 언급된 특징들을 포함할 수 있다.
[0025] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 층 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행되는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 유리-세라믹들, 저팽창 유리, 초저팽창 유리(예컨대, Corning, Inc.로부터 입수가능한 ULE® 유리), Zerodur® 저팽창 리튬 알루미노실리케이트 유리, 사파이어와 같은 재료들, 및 임의의 다른 재료들, 이를테면, 금속들, 금속 나이트라이드들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은, 웨이퍼들, 이를테면, 반도체 웨이퍼들, 및 다른 타입들의 재료들, 이를테면, 이전의 문장에서 열거된 재료들로 제조되는 웨이퍼들을 포함한다(그러나 이에 제한되지 않음). 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화(hydroxylate), 어닐링 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면 바로 위에서 층 프로세싱을 하는 것에 추가하여, 개시되는 층 프로세싱 단계들 중 임의의 층 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하부층 상에서 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 층(층은 또한 막으로 지칭될 수 있음) 또는 부분적인 층이 기판 표면 상에 증착된 경우, 새롭게 증착된 층의 노출된 표면이 기판 표면이 된다.
[0026] 도 1은 본 개시내용의 하나 이상의 실시예들에 따라 증착(예컨대, 화학 기상 증착, 물리 기상 증착, 및 원자 층 증착), 에칭, 가열, 열적 프로세싱, 베이킹, 및/또는 경화를 포함하는, 기판들의 프로세싱을 위해 사용되는 프로세싱 툴 또는 시스템(100)의 일 실시예의 평면도를 도시한다. 도면에서, 한 쌍의 FOUP(front opening unified pod)들(102)은 기판들(예컨대, 특정된 직경의 반도체 웨이퍼들)을 공급하며, 기판들은, 프로세스 챔버들(109a-c)의 기판 프로세싱 섹션들(108a-f) 중 하나에 배치되기 전에, 제1 로봇 암들(104)에 의해 수용되어 저압 홀딩 챔버 또는 홀딩 영역(로드 록 챔버로 또한 지칭됨)(이는 본원에서 홀딩 챔버(106)로 지칭될 것임)에 배치될 수 있다. 본원에서 사용되는 바와 같이, 홀딩 챔버(106)는, 홀딩 챔버가 프로세싱될 기판이 하나 이상의 프로세스들이 수행되는 프로세스 챔버로 이동되기 전에 배치되는 챔버라는 점에서 프로세스 챔버들과 구별된다. 제2 로봇식 암(110)은 기판들을 홀딩 챔버(106)로부터 프로세싱 챔버들(109a-c)로 그리고 되돌아(back) 이송하는 데 사용될 수 있다.
[0027] 프로세스 챔버들(109a-c)의 기판 프로세싱 섹션들(108a-f)은, 기판들 또는 기판들 상의 층들을 (예컨대, 화학 기상 증착, 물리 기상 증착, 및 원자 층 증착에 의한) 증착, 어닐링, 가열, 열적 프로세싱, 경화 및/또는 에칭하기 위한 하나 이상의 시스템 컴포넌트들을 포함할 수 있다. 따라서, 챔버들(109a-c)은, CVD(chemical vapor deposition) 챔버, PVD(physical vapor deposition) 챔버, ALD(atomic layer deposition) 챔버, FCVD(flowable chemical vapor deposition) 챔버, PECVD(plasma enhanced chemical vapor deposition) 챔버, 어닐링 챔버, 열적 프로세싱 챔버, RTP(rapid thermal processing) 챔버, 경화 챔버, 에칭 챔버, 또는 플라즈마 에칭 챔버 중 임의의 챔버일 수 있다. 이러한 목록의 챔버들은 단지 예시적일 뿐이며 제한적이지 않다. 예시적인 층들은 유동가능 유전체들, 다층 반사 스택들, 또는 흡수체 층들일 수 있지만, 프로세싱 툴로 많은 타입들의 층들이 형성되거나 프로세싱될 수 있다. 일 구성에서, 프로세싱 챔버의 2개의 쌍들의 프로세싱 섹션들(예컨대, 108c-d 및 108e-f)은 기판 상에 재료를 증착하는 데 사용될 수 있고, 제3 쌍의 프로세싱 섹션들(예컨대, 108a-b)은 증착된 재료를 어닐링하는 데 사용될 수 있다. 다른 구성에서, 2개의 쌍들의 프로세싱 섹션들(예컨대, 108c-d 및 108e-f)은 기판 상에 층을 증착하고 층을 어닐링하는 둘 모두를 하도록 구성될 수 있는 한편, 제3 쌍의 프로세싱 섹션들(예컨대, 108a-b)은 증착된 층의 UV 또는 E-빔 경화에 사용될 수 있다. 또 다른 구성에서, 3개의 쌍들의 프로세싱 섹션들(예컨대, 108a-f) 모두는 기판 상에 층을 증착하고 층을 경화시키거나 또는 증착된 층에 피처들을 에칭하도록 구성될 수 있다.
[0028] 또 다른 구성에서, 2개의 쌍들의 프로세싱 섹션들(예컨대, 108c-d 및 108e-f)은 층의 증착 및 UV 또는 E-빔 경화 둘 모두에 사용될 수 있는 한편, 제3 쌍의 프로세싱 섹션들(예컨대, 108a-b)은 층을 어닐링하는 데 사용될 수 있다. 또한, 프로세싱 섹션들(108a-f) 중 하나 이상은 처리 챔버로서 구성될 수 있고, 습식 또는 건식 처리 챔버일 수 있다. 이러한 프로세스 챔버들은, 수분을 포함하는 분위기에서 층을 가열하는 것을 포함할 수 있다. 따라서, 시스템(100)의 실시예들은 증착된 층에 대해 습식 및 건식 어닐링들 둘 모두를 수행하기 위해 습식 처리 프로세싱 섹션들(108a-b) 및 어닐링 프로세싱 섹션들(108c-d)을 포함할 수 있다. 층들을 위한 증착, 에칭, 어닐링, 및 경화 챔버들의 추가적인 구성들이 시스템(100)에 의해 고려된다는 것이 인지될 것이다. 일부 실시예들에서, 프로세싱 섹션들은 탠덤(tandem) 프로세싱 영역들 또는 챔버들로서 배열 또는 구성된다.
[0029] 전술한 내용을 고려하면, 본 개시내용의 하나 이상의 실시예들의 장점은, 현재의 기판 포지션 검출 및 정렬 장치가 원형 기판들에는 유용하지만 비-원형 기판들에는 유용하지 않은 문제가, 비-원형 기판의 포지션을 검출하고 기판을 정렬하도록 구성된 시스템을 제공함으로써 해결된다는 것이다. 하나 이상의 실시예들에 따르면, 본원에서 사용되는 바와 같이, "비-원형"은 원이 아닌 형상을 지칭하며, 정사각형, 직사각형, 삼각형, 육각형, 다각형, 마름모, 및 평행 사변형을 포함한다. 특정 실시예들에서, 기판은 정사각형 또는 직사각형이다.
[0030] 다각형(예컨대, 직사각형) 기판들과 같은 비-원형 기판들의 포지션을 정확하게 검출하도록 구성된 장치를 제공하는 것은, EUV(extreme ultraviolet) 리소그래피(소프트 x-선 투영 리소그래피(soft x-ray projection lithography)로 또한 알려져 있음)에 대한 엘리먼트들의 제조시에 매우 유익하다. EUV는 0.13 미크론 이하의 최소 피처 크기 반도체 디바이스들의 제조를 위해 심자외선 리소그래피(deep ultraviolet lithography)를 대체하기 위해 시작되었다. EUV 시스템들은 광의 투과 대신 반사에 의해 동작한다. 비-반사 흡수체 마스크 패턴으로 코팅된 마스크 블랭크 또는 반사 엘리먼트, 및 일련의 미러들 또는 렌즈 엘리먼트들의 사용을 통해, 패터닝된 화학 광(actinic light)이 레지스트-코팅 반도체 웨이퍼 상으로 반사된다.
[0031] 종래의 EUV 블랭크 프로세스들은, 예컨대, 다양한 코팅들을 도포하기 위해 코팅 툴 내에 152 mm x 152 mm 블랭크 레티클이 배치되는 것을 포함할 수 있다. 구성된 바와 같이, 정사각형 레티클이 캐리어 조립체(예컨대, 300 mm 캐리어 조립체) 내에 샌드위치되어, 300 mm 웨이퍼와 같이, 레티클이 코팅 툴을 통해 이송될 수 있게 된다. 캐리어 조립체는 캐리어 베이스, 레티클 블랭크, 및 캐리어 차폐부를 포함할 수 있다. 레티클의 제조 동안에, 캐리어 조립체는 제조 프로세스 동안 정렬될 수 있다. 본원에서 개시된 장치 및 방법들은 다양한 반도체 프로세싱 챔버들, 시스템들 및 방법들에서 유용하다. 예컨대, 챔버 내부의 웨이퍼의 정확한 포지션을 검출 및/또는 모니터링하는 것이 도움이 되는 증착 및 에칭 프로세스들에서 유용한데, 왜냐하면, 포지션 정보가 프로세스 결과에 직접적으로 영향을 미치기 때문이다. 예컨대, 특정 전도 경로들의 배치 또는 다수의 챔버들에서의 에칭은, 챔버 내에서의 웨이퍼의 반복가능하고 정확한 배치 능력에 따라 좌우된다.
[0032] 본 개시내용의 실시예들은 챔버 내에서 기판을 프로세싱하기 위해, 특히 홀딩 챔버 또는 프로세싱 챔버 내에서 비-원형 기판을 포지셔닝하기 위해 사용되는 장치 및 방법들을 제공한다. 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사를 검출하고, 기판이 회전됨에 따라 신호 강도를 결정하고, 그리고 신호 강도 패턴을 획득하여 챔버의 중심 포지션에 대한 챔버 내의 기판의 포지션을 결정하는 방법들 및 장치가 본원에서 추가로 설명된다. 본 개시내용의 실시예들은, 기판이 챔버의 중심에서 벗어나 포지셔닝되는지 여부 및 기판이 중심에서 벗어나 포지셔닝되는 정도의 검출을 가능하게 한다. 방법들 및 장치가 임의의 기판 형상에 대해 사용되지만, 본 개시내용의 실시예들은 직사각형 형상을 갖는 기판들에 유용하다. 본원에서 개시된 장치 및 방법들은 다양한 반도체 프로세싱 챔버들, 시스템 및 방법들, 예컨대 증착 및 에칭 프로세스들에서 유용하며, 여기서 포지션 정보가 프로세스 결과에 직접적으로 영향을 미치기 때문에 챔버 내부의 웨이퍼의 정확한 포지션을 검출 및 모니터링하는 것이 중요하다. 예컨대, 특정 전도 경로들의 배치 또는 다수의 챔버들에서의 에칭은, 챔버 내에서의 웨이퍼의 반복가능하고 정확한 배치 능력에 따라 좌우된다. 또한, 하나 이상의 실시예들에 따르면, 챔버 내의 기판의 휘어짐 및 변형을 검출하고 정량화하는 것이 또한 가능하다.
[0033] 이제, 도 2a-도 2b 및 도 3a-도 3d를 참조하면, 본 개시내용의 하나 이상의 실시예들에 따라, 다각형 기판, 특히 직사각형 기판(202)을 프로세싱하기 위한 기판 프로세싱 장치(200)가 제공된다. 일부 실시예들에서, 직사각형 기판(202)을 프로세싱하는 것은, 기판(202)을 지지하는 챔버 표면(204)(예컨대, 기판 지지부(225)) 상에 기판을 배치하는 것을 수반한다. 기판의 평면에서 챔버 표면(204)은 중심 포지션(203)을 갖는다. 도 2a-도 2b 및 3a-도 3d에 도시된 기판(202)은 직사각형 형상이고, 제1 코너(202a), 제2 코너(202b), 제3 코너(202c) 및 제4 코너(202d)를 포함한다. 제1 코너(202a)와 제2 코너 사이에서 연장되는 선은 제1 면(side)(202e)을 정의하고, 제2 코너(202b)와 제3 코너(202c) 사이에서 연장되는 선은 제2 면(202f)을 정의하고, 제3 코너(202c)와 제4 코너(202d) 사이에서 연장되는 선은 제3 면(202g)을 정의하고, 그리고 제4 코너(202d)와 제1 코너(202a) 사이에서 연장되는 선은 기판(202)의 제4 면(202h)을 정의한다. 기판(202)은 최상부 표면(205) 및 최하부 표면(207)을 갖고, 최상부 표면(205)과 최하부 표면 사이의 거리는 도 2b에 도시된 바와 같이 두께 "t"를 정의한다. 일 예에서, 챔버 표면(204)은 EUV 레티클 또는 EUV 마스크를 프로세싱하기 위한 챔버의 표면이고, 기판(202)은 EUV 레티클 블랭크 또는 EUV 마스크 블랭크이다.
[0034] 일부 실시예들에서, 도 1에 도시된 제1 로봇 암들(104) 및 제2 로봇 암들(110)은 챔버 표면(204) 상에 기판(202)을 배치하도록 구성된다. 제1 로봇 암들(104) 및 제2 로봇 암들(110)은 기판(202)에서 X-Y 평면에서 이동한다. 따라서, 기판(202)은 화살표(220)로 도시된 바와 같이 X 방향으로 앞뒤로 그리고 화살표(222)로 도시된 바와 같이 Y 방향으로 앞뒤로 이동된다. 게다가, 기판은, 일부 실시예들에서 회전 기판 지지부(225)인 기판 지지부(225) 또는 로봇 암들에 의해, 화살표(224)로 도시된 바와 같이 X-Y 평면에서 회전된다. 모션은 하나 이상의 전기 모터들, 트랜스미션(transmission)들(예컨대, 리드 스크루), 벨트들 및 풀리들, 선형 및 회전 베어링들 및 기계 부품들로 구성된 구동 트레인(drive train)들에 의해 제어된다.
[0035] 도 2a-도 2b 및 도 3a-도 3d를 계속 참조하면, 장치(200)는 비-원형 기판, 예컨대 다각형 기판, 및 일부 실시예들에서는 도시된 바와 같은 직사각형 투명 기판(202)의 표면 프로파일을 측정하도록 구성된 포지션 검출 시스템을 포함한다. 장치는, 기판(202)을 스캔하고 제어기(270)와 통신하는 방사 소스(250)를 포함한다.
[0036] 기판 지지부(225)는 챔버(204) 내에서 기판을 복수의 회전 각도 포지션들을 통해 360도 회전시키도록 구성된다. 제어기는 기판 지지부(225)의 회전을 제어한다. 일부 실시예들에서, 방사 소스(250)는, 점선 화살표(211)로 도시된 바와 같이 최상부 표면(205)과 최하부 표면(207) 사이의 두께 "t"를 따라 방사 빔을 지향시키도록 포지셔닝된 레이저이다. 최상부 표면과 최하부 표면 사이의, 기판의 두께를 따라 투과되는 방사를 검출하도록, 방사 소스(250) 반대편에 센서(252)가 포지셔닝된다. 제어기(270)는, 복수의 회전 각도 포지션들에서 센서(252)에 의해 검출된 방사의 신호 강도를 분석하고 그리고 복수의 회전 각도 포지션들에서의 신호 강도를 챔버 내의 포지션과 상관시키도록 구성된다.
[0037] 제어기(270)는, 복수의 회전 각도 포지션들에서 다각형 기판, 이를테면, 직사각형 기판의 두께를 따라 투과되는 방사의 신호 강도를 분석하도록 구성된다. 일부 실시예들에서, 제어기는 직사각형 기판의 두께 "t"를 따라 투과되는 신호 강도를 분석하도록 구성된다. 일부 실시예들에서, 제어기(270)는, 기판(202)이 복수의 회전 각도 포지션들을 통해 회전될 때, 신호 강도 패턴 대(versus) 기판의 회전 각도 포지션을 분석하도록 구성된다. 일부 실시예들에서, 제어기(270)는 기판 지지부(225)의 회전 운동을 제어한다.
[0038] 일부 실시예들에서, 기판(202)은 방사 소스(250)에 의해 방출된 방사(211)의 파장에 대해 투명하다. 그러한 실시예들에서, 방사 센서(252)는 투명 기판(202)의 두께 "t"를 따라 투과된 방사를 검출하도록 방사 소스(250) 반대편에 포지셔닝된다. 일부 실시예들에서, 방사 소스(250)는 레이저 소스를 포함하며, 방사 센서는 레이저 방사를 검출하는 레이저 센서를 포함한다. 하나 이상의 실시예들에 따르면, 레이저 센서들은 포지션 또는 광 세기에 기반하여 비-원형 기판의 존재를 검출하는 데 사용된다. 레이저 센서의 이점들은 장거리의, 가시광선 빔 스폿 및 정밀 검출을 포함한다.
[0039] 도 3a는 폭 "W", 및 폭 "W"보다 더 큰 길이 "L"을 갖는, 도 2a-도 2b에 도시된 기판(202)과 유사한 직사각형 기판(202)의 평면도를 도시하지만, 일부 실시예들에서, L과 W는 동일하여 직사각형 기판(202)이 정사각형이 된다. 직사각형 기판(202)은 대각선 "D"의 두께를 따라 가장 긴 치수를 갖는다. 도 3b에서 확인되는 바와 같이, 기판(202)은 X-Y 평면에서 정렬되지 않는다. 제어기(270)는 방사 빔(211)(예컨대, 방사 센서(252)(예컨대, 레이저 센서)에 의해 측정되는 기판(202)의 두께를 따른 레이저 방사)을 투과시키도록 방사 소스(250)(예컨대, 레이저 소스)에 신호를 전송한다. 도 3b는 기판(202)의 두께를 따라 투과된, 방사 센서(252)에 의해 감지되는 방사(211)를 방출하는 방사 소스(250)를 갖는 장치(200)의 측면도를 도시한다. 제1 측정치는 도 3b에서 선(b1)으로 표시된 바와 같이 기판의 두께를 따라 제1 회전 각도 포지션에서 획득된다. 도 3c에서, 제어기(270)는 기판의 포지션을 제2 회전 각도 포지션으로 회전시키기 위한 신호를 전송했고, 기판 지지부(225)를 화살표(224) 방향으로 회전시킴으로써 기판(202)의 회전 각도 포지션이 변경되었다. 제어기(270)는 b1보다 더 짧은 선(b2)으로 표시된 바와 같이 기판(202)을 가로질러 제2 측정치를 획득하도록 방사 소스(250) 및 방사 센서(252)에 다른 신호를 전송한다. 도 3c에 도시된 바와 같이, 제어기는 기판(202)의 두께를 따라 방사 빔(211)을 투과시키도록 방사 소스(250)에 다른 신호를 전송하고, 방사 센서는 b2보다 더 짧은 거리(b3)에서 기판(202)의 두께를 따라 제3 측정치를 획득한다.
[0040] 제어기(270)는, 방사 소스(250)가 기판(202)의 두께를 따라 방사를 스캔할 때, 화살표(224)로 표시된 바와 같이 기판(202)의 회전 모션을 제어한다. 도 3b-도 3d는 기판(202)의 두께를 따라 단지 3개의 측정치들을 나타내지만, 하나 이상의 실시예들에서, 방사 소스(250), 방사 센서(252) 및 제어기는 협력하여 기판(202)의 두께 "t"를 따라 복수의 측정치들을 획득한다는 것이 이해될 것이다. 방사 소스(250) 및 방사 센서(252)는, 기판의 크기에 따라 방사 소스(250)와 방사 센서 사이의 거리를 증가 또는 감소시키기 위해, 전기 모터, 공압 구동부 또는 유압 구동부(도시되지 않음)에 의해 이동되는 캐리지(carriage)(도시되지 않음) 상에 장착된다. 제어기(270)는, 기판의 특성들 및 방사의 파장에 기반하여 신호 강도로 변환되거나 신호 강도와 상관되는 방사 세기 측정치들을 방사 센서(252)로부터 수신하도록 구성되는 중앙 프로세싱 유닛(272)을 포함한다. 재료(예컨대, 석영, 유리 등)의 특성들에 따라, 특정 파장에서의 방사의 투과는 미리 알려지거나 또는 도 4a에 도시된 바와 같이 주어진 경로 길이에 대해 경험적으로 결정된다. 재료 특성들 및 경로 길이에 기반하여, 신호 강도는 도 4b에 도시된 바와 같이 다양한 경로 길이들에 대해 결정된다.
[0041] CPU(central processing unit)(272)를 포함하는 제어기(270)는 메모리(274) 및 지원 회로들(276)을 더 포함하며, 제어기(270)는 통신 링크(도시되지 않음)에 의해 방사 소스(250) 및 방사 센서(252)에 커플링되어, 기판의 로딩, 기판의 언로딩, 측정 동안의 기판의 회전, 및 챔버에 배치된 기판의 재포지셔닝의 제어를 가능하게 하며, 이에 따라, 기판이 챔버 표면(204)의 중심 포지션(203)에 배치된다. 메모리(274)는 장치 또는 CPU(272)에 대해 로컬 또는 원격인 임의의 컴퓨터-판독가능 매체, 이를테면, RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장소이다. 종래의 방식으로 CPU(272)를 지원하기 위해 지원 회로들(276)이 CPU(272)에 커플링된다. 일부 실시예들에서, 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템 등을 포함한다. 메모리(274)에 저장된 일련의 프로그램 명령들 또는 소프트웨어 루틴은, CPU(272)에 의해 실행될 때, 장치로 하여금, 도 3a-도 3d와 관련하여 설명된 바와 같이 복수의 회전 각도 포지션들에서 측정을 수행하게 한다.
[0042] 기판의 두께를 따른 복수의 측정들은 챔버 표면(204)의 중심점(203)에 대한 기판(202)의 포지션을 정확하게 결정하기 위한 임의의 적절한 수를 포함한다는 것이 이해될 것이다. 하나 이상의 실시예들에 따르면, 측정들은 90도, 45도, 30도, 20도, 15도, 10도, 9도, 8도, 7도, 6도, 5도, 4도, 3도, 2도, 1도, 0.5도, 0.1도 또는 0.01도의 증분들로 수행된다.
[0043] 일부 실시예들에서, 제어기(270), 메모리(274)는 복수의 회전 각도 포지션들에서 방사 센서(252)에 의해 획득된 신호 강도를 기록한다. 일부 실시예들에 따르면, 제어기(270)는 복수의 회전 각도 포지션들에서의 신호 강도 패턴을 생성하도록 구성된다. 신호 강도 패턴은, CPU(272)가, 메모리에 의해 저장된 복수의 회전 각도 포지션들에서 신호 강도의 저장된 값들을 프로세싱하고 기판이 360도 회전될 때 신호 강도 대 회전 각도 포지션의 플롯을 생성함으로써, 생성된다.
[0044] 도 5b는 도 5a에 도시된 직사각형 기판이 360도 회전될 때의 경로 길이 대 회전 각도 포지션의 대표적인 플롯을 도시한다. 최단 경로 길이는 직사각형의 폭(W)에 있고, 가장 긴 경로 길이는 직사각형의 길이(L)에 있다. 위에서 논의된 바와 같이, 신호 강도는 기판의 두께에 따른 광 경로의 경로 길이 및 흡수 손실들에 반비례하므로, CPU(272)는 기판이 회전될 때 각각의 회전 각도 포지션에서의 각각의 측정의 경로 길이와 상관되는 신호 강도를 결정한다. 도 5b는 톱니 또는 정현파 패턴에 의해 표현된 바와 같이 챔버에서 중심 포지션(203)에 완벽하게 센터링된 기판에 대한, 경로 길이 대 각도의 이상적인 프로파일을 나타낸다. 그런 다음, 신호 강도와 상관된, 도 5b에 도시된 패턴으로부터의 편차는 기판의 포지션의 편심(eccentricity)과 상관된다.
[0045] 따라서, 일부 실시예들에 따르면, 직사각형 기판을 챔버에 배치하고 레이저와 같은 방사 소스를 챔버를 가로질러 그리고 기판의 두께를 따라 센서로 지향시키면, 챔버에서 기판 포지션의 편심이 결정된다. 기판을 360도 회전시키고 방사 센서에 의해 획득된 신호를 기록함으로써, CPU에 의해 생성된 신호 강도 패턴 대(versus) 기판이 완벽하게 센터링되었을 때의 알려진 패턴의 비교에 의해, 기판이 제자리를 벗어났는지가 결정된다. 예컨대, 기판이 올바른 포지션(중심점(203))에 있는 경우, 신호는 톱니 또는 정현파를 가져야 하는데, 즉, 빔 경로는 기판의 폭에서 짧고 기판의 대각선에서 더 클 것이다.
[0046] 도 6은 폭(W=2a) 및 길이(L=2a)를 갖는 정사각형 기판(202)의 예를 예시한다. 기판이 y 방향에서 중심으로부터 거리 "e"만큼 오프셋되었다고 가정하면, 챔버의 기판 지지부가 회전함에 따라, 경로 길이는 톱니 또는 정현파 패턴과 상이할 것이다. 다음의 각도 또는 45도보다 더 큰 각도에서 최대치가 발생할 것이다:
Figure 112021019202416-pct00001
[0047] e = 0인 경우, 최대치는 다음과 같아야 한다:
Figure 112021019202416-pct00002
[0048] 그러나, 도 6에 도시된 기판의 경우, 최대치는 다음과 같다:
Figure 112021019202416-pct00003
[0049] 360도 회전의 경우, 그래프 패턴은 톱니 또는 제어기 패턴이 아닐 것이며, 4회 반복되지 않을 것이다. 하나 이상의 실시예들에서, 프로세서(제어기(270))가, 4회 반복되지 않는 또는 톱니 또는 정현파 패턴이 아닌 또는 다시 말해 미리-결정된 패턴의 신호 강도 패턴과 상관되지 않는 패턴을 획득하는 경우, 제어기(270)는, 기판이 중심 포지션(203)에 있지 않기 때문에, 챔버에서 기판의 포지션을 조정하기 위한 신호를 전송할 것이다. 따라서, 제어기(270)는, 기판을 피킹(pick)하고 기판을 다시 챔버의 중심에 더 가깝게 배치하도록 도 1에서와 같은 로봇 암에 신호를 전송하며, 장치는 측정을 수행하여 기판(202)이 중심 포지션(203)에 배치되었음을 확인한다. 따라서, 일부 실시예들에서, 제어기는 챔버에서 기판(202)을 재포지셔닝하도록 구성된다. 제어기는, 기판을 픽업(pick up)하고 기판을 챔버 표면(204)의 중심 포지션(203)에 또는 그 중심 포지션(203)에 더 가깝게 배치하도록, 로봇 암, 예컨대 도 1과 관련하여 위에서 설명된 로봇 암들 중 하나에 신호를 전송한다.
[0050] 위에서 논의된 바와 같이, 일부 실시예들에서, 일부 실시예들에 따른 장치는 레이저 방사를 활용한다. 하나 이상의 실시예들에서, 레이저 방사는 스펙트럼의 자외선, 가시광선, 및 적외선 영역들을 포함한다. 일부 실시예들에서, 레이저들을 위한 자외선 방사는 180 내지 400 nm의 파장들로 구성된다. 일부 실시예들에서, 가시광선 영역은 400 내지 700 nm의 파장들을 갖는 방사로 구성된다. 일부 실시예들에서, 스펙트럼의 적외선 영역은 700 nm 내지 1 mm의 파장들을 가진 방사로 구성된다.
[0051] 위에서 언급된 바와 같이, 장치 및 방법은 EUV 디바이스들, 이를테면, EUV 마스크들의 제조시에 유익하다. 도 7은 하나 이상의 실시예들에 따라 본원에서 설명된 바와 같이 석영, 실리카, 유리 또는 초저팽창 유리로 구성된 다각형 기판, 예컨대 직사각형 투명 기판을 프로세싱하기 위한 장치(200)를 포함하는 EUV 마스크 생산 시스템(300)을 도시한다. EUV 마스크 생산 시스템(300)은, 형상이 다각형인 또는 형상이 다각형이고 투명한 하나 이상의 마스크 블랭크들(304)을 수용하도록 구성된 마스크 블랭크 로딩 및 캐리어 핸들링 시스템(302)을 포함할 수 있다. 홀딩 챔버(306)가 기판 핸들링 진공 챔버(308)로의 액세스를 제공한다. 도시된 실시예에서, 기판 핸들링 진공 챔버(308)는 2개의 진공 챔버들, 예컨대 제1 진공 챔버(310) 및 제2 진공 챔버(312)를 포함한다. 제1 진공 챔버(310) 내에 제1 기판 핸들링 시스템(314)이 있으며, 제2 진공 챔버(312) 내에 제2 기판 핸들링 시스템(316)이 있다.
[0052] 기판 핸들링 진공 챔버(308)는 다양한 다른 시스템들 또는 챔버들의 부착을 위한 그리고 이러한 다양한 다른 시스템들 또는 챔버들에 대한 액세스를 제공하기 위한 복수의 포트들을 그 기판 핸들링 진공 챔버(308)의 주변부 주위에 가질 수 있다. 이러한 비-제한적인 실시예에서, 제1 진공 챔버(310)는 탈기 챔버(318), 제1 물리 기상 증착 챔버(320), 제2 PVD(physical vapor deposition) 챔버(322), 및 예비-세정 챔버(324)를 갖는다. 게다가, 제2 진공 챔버(312)는, 제2 진공 챔버에 연결되어 있는, 제1 멀티-캐소드 PVD 챔버(326), FCVD(flowable chemical vapor deposition) 챔버(328), 경화 챔버(330), 및 제2 멀티-캐소드 PVD 챔버(332)를 포함할 수 있다.
[0053] 제1 기판 핸들링 시스템(314)은 기판들, 이를테면, 기판(334)을 연속적인 진공에서 홀딩 챔버(306)와 제1 진공 챔버(310)의 주변부 주위의 다양한 챔버들 사이에서 그리고 슬릿 밸브들을 통해 이동시킬 수 있다. 제2 기판 핸들링 시스템(316)은 기판들, 이를테면, 기판(336)을, 연속적인 진공에서 기판들을 유지하면서, 제2 진공 챔버(312) 주위에서 이동시킬 수 있다. 통합형 EUV 마스크 생산 시스템(300)은 레티클 프로세싱 시스템과 함께 동작할 수 있다. 다각형 기판을 프로세싱하기 위한 장치(200)는 캐리어 핸들링 시스템(302) 근방에서 또는 근처에서 활용된다. 특히, 비-원형 기판의 표면 프로파일을 측정하도록 구성된 3D(three-dimensional) 방사 맵핑 디바이스(260)는 도 2 및 도 3a-도 3b와 관련하여 설명된 바와 같이 기판들(334 및 336)을, 본원에서는 다양한 챔버들, 즉, 탈기 챔버(318), 제1 물리 기상 증착 챔버(320), 제2 물리 기상 증착 챔버(322), 예비-세정 챔버(324), 제1 멀티-캐소드 PVD 챔버(326), FCVD(flowable chemical vapor deposition) 챔버(328), 경화 챔버(330), 및 제2 멀티-캐소드 PVD 챔버(332)에 정확하게 배치하는 데 사용된다. 이러한 챔버들 중 하나 이상에 다각형 기판을 정확하게 배치하는 것은, 생산 요건들을 충족시키고 EUV 마스크들 및 EUV 레티클들을 정확하게 제조하는 데 중요하다. 기존의 시스템들 및 장치는, 기판이 이동하는 동안, 이를테면, 다각형 기판이 로봇 암의 로봇 블레이드 상에 있고 그 상태로 홀딩 챔버 또는 프로세스 챔버 내로 이동될 때, 비-원형 기판들의 포지션을 정확하게 검출하는 것 및 비-원형 기판들을 배치하는 것을 할 수 없으며, 특히 로봇 암 상의 포지션 또는 지점에 대한 기판의 포지션을 검출할 수 없다.
[0054] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 특징들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[0055] 본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 애플리케이션들을 예시하는 것임이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 챔버;
    기판 두께를 정의하는 최상부 표면과 최하부 표면을 포함하는 기판을 지지하도록 구성된 기판 지지부 ― 상기 기판 지지부는 상기 챔버 내에서 상기 기판을 복수의 회전 각도 포지션들을 통해 360도 회전시키도록 구성됨 ―;
    상기 최상부 표면과 상기 최하부 표면 사이에서 최상부 표면 또는 최하부 표면의 접선 방향으로 방사 빔을 지향시키도록 포지셔닝된 레이저;
    상기 최상부 표면과 상기 최하부 표면 사이에서, 상기 기판의 최상부 표면 또는 최하부 표면의 접선 방향으로 투과되는 방사를 검출하도록 상기 레이저 반대편에 포지셔닝된 센서; 및
    상기 복수의 회전 각도 포지션들에서 상기 센서에 의해 검출된 상기 방사의 신호 강도를 분석하고 그리고 상기 복수의 회전 각도 포지션들에서의 상기 신호 강도를 상기 챔버 내의 포지션과 상관시키도록 구성된 제어기를 포함하는,
    기판 프로세싱 장치.
  2. 제1 항에 있어서,
    상기 제어기는 상기 복수의 회전 각도 포지션들에서 다각형 기판의 최상부 표면 또는 최하부 표면의 접선 방향으로 투과되는 상기 방사의 신호 강도를 분석하도록 구성되는,
    기판 프로세싱 장치.
  3. 제2 항에 있어서,
    상기 제어기는 직사각형 기판의 최상부 표면 또는 최하부 표면의 접선 방향으로 투과되는 상기 신호 강도를 분석하도록 구성되는,
    기판 프로세싱 장치.
  4. 제3 항에 있어서,
    상기 제어기는 신호 강도 패턴 대(versus) 회전 각도 포지션을 분석하도록 구성되는,
    기판 프로세싱 장치.
  5. 제4 항에 있어서,
    상기 센서는 레이저 센서를 포함하는,
    기판 프로세싱 장치.
  6. 제5 항에 있어서,
    상기 제어기는 상기 기판 지지부의 회전 운동을 제어하는,
    기판 프로세싱 장치.
  7. 제6 항에 있어서,
    상기 제어기는, 상기 복수의 회전 각도 포지션들에서의 신호 강도 패턴을 생성하고 그리고 상기 신호 강도 패턴을 미리-결정된 신호 강도 패턴과 비교하도록 구성되는,
    기판 프로세싱 장치.
  8. 제7 항에 있어서,
    상기 미리-결정된 신호 강도 패턴은 상기 기판 지지부 상에 센터링된 기판과 상관되고, 그리고 상기 제어기는 상기 기판을 재포지셔닝(reposition)하도록 구성되는,
    기판 프로세싱 장치.
  9. 제1 항 내지 제8 항 중 어느 한 항에 있어서,
    상기 기판은 EUV(extreme ultraviolet) 마스크 블랭크를 포함하고 그리고 상기 챔버는 진공 챔버를 포함하며,
    상기 기판 프로세싱 장치는,
    물리 기상 증착 챔버, 예비-세정 챔버, 및 멀티-캐소드 PVD 챔버를 포함하는 진공 챔버에 대한 액세스를 제공하기 위한 복수의 포트들을 포함하는 기판 핸들링 진공 챔버에 대한 액세스를 제공하기 위한 홀딩 챔버; 및
    상기 홀딩 챔버 및 상기 진공 챔버 중 적어도 하나에서, EUV 마스크 블랭크 두께를 정의하는 최상부 표면과 최하부 표면을 포함하는 상기 EUV 마스크 블랭크를 로딩하도록 구성된 EUV 마스크 블랭크 로딩 시스템을 더 포함하며,
    상기 기판 지지부는 상기 홀딩 챔버 및 상기 진공 챔버 중 적어도 하나 내에서 상기 EUV 마스크 블랭크를 지지하고 그리고 상기 EUV 마스크 블랭크를 복수의 회전 각도 포지션들을 통해 360도 회전시키도록 구성되고, 그리고
    상기 제어기는 상기 복수의 회전 각도 포지션들에서 상기 센서에 의해 검출된 상기 방사의 신호 강도를 분석하고 그리고 상기 복수의 회전 각도 포지션들에서의 상기 신호 강도를 상기 진공 챔버 내의 포지션과 상관시키도록 구성되는,
    기판 프로세싱 장치.
  10. 챔버 내에서 기판을 포지셔닝하는 방법으로서,
    챔버 내에서 직사각형 기판을 기판 지지부 상에 배치하는 단계 ― 상기 기판은 기판 두께를 정의하는 최상부 표면과 최하부 표면을 포함함 ―;
    상기 챔버 내에서 상기 기판을 복수의 회전 각도 포지션들을 통해 360도 회전시키는 단계;
    상기 최상부 표면과 상기 최하부 표면 사이에서 최상부 표면 또는 최하부 표면의 접선 방향으로 방사 빔을 지향시키도록 레이저를 지향시키는 단계;
    상기 최상부 표면과 상기 최하부 표면 사이에서, 상기 기판의 최상부 표면 또는 최하부 표면의 접선 방향으로 투과되는 상기 방사 빔으로부터의 방사를 검출하는 단계; 및
    상기 복수의 회전 각도 포지션들에서 검출된 상기 방사의 신호 강도를 분석하고 그리고 상기 복수의 회전 각도 포지션들에서의 상기 신호 강도를 상기 챔버 내의 포지션과 상관시키는 단계를 포함하는,
    챔버 내에서 기판을 포지셔닝하는 방법.
  11. 제10 항에 있어서,
    제어기를 사용하여 상기 복수의 회전 각도 포지션들에서의 신호 강도 패턴을 생성하는 단계를 더 포함하는,
    챔버 내에서 기판을 포지셔닝하는 방법.
  12. 제11 항에 있어서,
    상기 신호 강도 패턴을 미리-결정된 신호 강도 패턴과 비교하는 단계를 더 포함하는,
    챔버 내에서 기판을 포지셔닝하는 방법.
  13. 제12 항에 있어서,
    상기 미리-결정된 신호 강도 패턴은 상기 기판 지지부 상의 중심 포지션에 있는 기판과 상관되는,
    챔버 내에서 기판을 포지셔닝하는 방법.
  14. 제13 항에 있어서,
    상기 챔버 내에서 상기 기판을 중심 포지션으로 재포지셔닝하는 단계를 더 포함하는,
    챔버 내에서 기판을 포지셔닝하는 방법.
  15. 제14 항에 있어서,
    로봇 암을 사용하여 상기 기판을 재포지셔닝하는 단계를 더 포함하는,
    챔버 내에서 기판을 포지셔닝하는 방법.
KR1020217004723A 2018-07-20 2019-07-17 기판 포지셔닝 장치 및 방법들 KR102493187B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862701274P 2018-07-20 2018-07-20
US62/701,274 2018-07-20
US16/512,705 2019-07-16
US16/512,705 US11036125B2 (en) 2018-07-20 2019-07-16 Substrate positioning apparatus and methods
PCT/US2019/042147 WO2020018635A1 (en) 2018-07-20 2019-07-17 Substrate positioning apparatus and methods

Publications (2)

Publication Number Publication Date
KR20210021137A KR20210021137A (ko) 2021-02-24
KR102493187B1 true KR102493187B1 (ko) 2023-01-27

Family

ID=69161853

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217004723A KR102493187B1 (ko) 2018-07-20 2019-07-17 기판 포지셔닝 장치 및 방법들

Country Status (5)

Country Link
US (1) US11036125B2 (ko)
JP (1) JP7072713B2 (ko)
KR (1) KR102493187B1 (ko)
TW (1) TWI794530B (ko)
WO (1) WO2020018635A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008192646A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板位置決め装置および基板位置決め方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6157450A (en) 1998-03-09 2000-12-05 Chapman Instruments Automated optical surface profile measurement system
US6393337B1 (en) * 2000-01-13 2002-05-21 Applied Materials, Inc. Method and apparatus for orienting substrates
US7315373B2 (en) * 2001-11-14 2008-01-01 Rorze Corporation Wafer positioning method and device, wafer process system, and wafer seat rotation axis positioning method for wafer positioning device
JP2005184034A (ja) * 2005-03-15 2005-07-07 Nikon Corp 露光装置、及び該露光装置を用いたパターン形成方法
JP4886549B2 (ja) * 2007-02-26 2012-02-29 株式会社東芝 位置検出装置および位置検出方法
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
KR101023948B1 (ko) 2008-09-01 2011-03-22 주식회사 싸이맥스 웨이퍼의 중심 검출 장치 및 그 방법
US8314371B2 (en) 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP5387926B2 (ja) * 2011-09-27 2014-01-15 村田機械株式会社 板状体の検出装置とケースポート及び保管装置
JP2015509666A (ja) * 2012-03-08 2015-03-30 マッパー・リソグラフィー・アイピー・ビー.ブイ. アライメントセンサーとビーム測定センサーを備えている荷電粒子リソグラフィシステム
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
EP3279737A1 (en) * 2016-08-05 2018-02-07 ASML Netherlands B.V. Diagnostic system for an industrial process
CN107481960B (zh) * 2017-08-15 2024-05-28 紫石能源有限公司 一种方形晶片偏移量的测量、校准装置及其方法
TWI805795B (zh) 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008192646A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板位置決め装置および基板位置決め方法

Also Published As

Publication number Publication date
TW202018428A (zh) 2020-05-16
JP7072713B2 (ja) 2022-05-20
TWI794530B (zh) 2023-03-01
US20200026177A1 (en) 2020-01-23
US11036125B2 (en) 2021-06-15
WO2020018635A1 (en) 2020-01-23
KR20210021137A (ko) 2021-02-24
JP2021531649A (ja) 2021-11-18

Similar Documents

Publication Publication Date Title
KR102505838B1 (ko) 기판 포지셔닝 장치 및 방법들
TWI397954B (zh) 基板之處理方法、程式及電腦儲存媒體與基板處理系統
JP2021170667A (ja) オンザフライ方式の自動ウェハセンタリング方法および装置
TW201842301A (zh) 位置檢測系統及處理裝置
TW201704894A (zh) 基板支架、微影設備及製造裝置之方法
US20060278165A1 (en) Cluster tool architecture for processing a substrate
KR101840285B1 (ko) 반도체 웨이퍼의 연마 방법
US8461022B2 (en) Methods and apparatus for aligning a substrate in a process chamber
US20220364858A1 (en) Distance measurement between gas distribution device and substrate support at high temperatures
US20230420281A1 (en) Reflectometer to monitor substrate movement
KR102493187B1 (ko) 기판 포지셔닝 장치 및 방법들
WO2011115696A2 (en) Metrology system for imaging workpiece surfaces at high robot transfer speeds
TW201705349A (zh) 接合前對準基板之方法
WO2011102938A2 (en) A method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
KR20080015127A (ko) 기판 처리 장치 및 반도체 장치 제조 방법
US20220236649A1 (en) Split double sided wafer and reticle clamps
TW202131436A (zh) 搬運之系統及方法
JP5851099B2 (ja) 真空処理装置の運転方法
CN116798892A (zh) 温度测定方法、半导体基板及半导体装置
JP2014086579A (ja) 真空チャンバ用反射部材

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant