CN101740447A - 基板位置检测装置、基板位置检测方法、成膜装置、成膜方法 - Google Patents

基板位置检测装置、基板位置检测方法、成膜装置、成膜方法 Download PDF

Info

Publication number
CN101740447A
CN101740447A CN200910223514A CN200910223514A CN101740447A CN 101740447 A CN101740447 A CN 101740447A CN 200910223514 A CN200910223514 A CN 200910223514A CN 200910223514 A CN200910223514 A CN 200910223514A CN 101740447 A CN101740447 A CN 101740447A
Authority
CN
China
Prior art keywords
mentioned
substrate
position detection
pedestal
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910223514A
Other languages
English (en)
Inventor
相川胜芳
本间学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101740447A publication Critical patent/CN101740447A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Non-Portable Lighting Devices Or Systems Thereof (AREA)

Abstract

本发明提供一种基板位置检测装置、基板位置检测方法、成膜装置、成膜方法。公开的基板位置检测装置包括:对作为位置检测对象的基板(W)进行拍摄的摄像部;被配置在摄像部和基板之间,具有确保摄像部相对于基板的视场的第1开口部的光散射性的板构件;将光照射到板构件上的第1照明部;以及从由摄像部拍摄的基板的图像求出基板的位置的处理部。

Description

基板位置检测装置、基板位置检测方法、成膜装置、成膜方法
技术领域
本发明涉及检测被收容在半导体元件的制造装置等中的基板的位置的基板位置检测装置、基板位置检测方法、具有基板位置检测装置的成膜装置,使用该成膜装置的成膜方法。
背景技术
在半导体元件的制造工序中,基板被输送到以成膜装置、蚀刻装置和检查装置为首的各种制造装置内,对基板进行与各装置对应的处理。基板被具有叉状件、终端操作装置(endeffector)的输送臂搬入各装置内,但在装置内基板必须准确地配置在规定的位置。例如,基板在成膜装置内偏离规定的位置时,产生无法均匀地加热基板、膜质和膜厚的均匀性变差这样的问题。而且,基板偏离规定的位置时,也产生在处理后无法通过叉状件和终端操作装置取出基板这样的问题。
并且,在因膜厚的控制性和均匀性优良而引人注目的分子层(原子层)成膜装置中,存在替代原料气体的交替供给、通过使基板高速旋转来使原料气体交替附着于基板上的成膜装置,在这样的装置中,基板没有处于规定的位置的情况下,产生基板因旋转而跳起这样的问题。
为了准确地将基板配置在规定的位置来解决如上所述的问题,存在如下方法:将多个激光传感器或光电传感器配置在装置内,通过测量值的变化来检测位置偏移的方法(参照专利文献1)、利用接触式传感器检测位置偏移的方法(参照专利文献2)。
但是,对于1张基板需要采用多个激光传感器,因此在收容有多个基板的装置中需要相当数量的激光传感器,导致装置的成本上升。而且,为了掌握基板和基座(susceptor)的相对位置,也需要用于检测基座位置的激光传感器,导致成本进一步上升。并且,在采用多个激光传感器的情况下,也产生光学系统变复杂这样的问题。另一方面,接触式传感器在加热基板的情况下无法使用。
相对于此,作为基板位置的其他检测方法,存在采用CCD照相机等对基板进行拍摄并基于所得到的图像来检测基板的位置的方法(参照专利文献3)。采用该方法,采用一台CCD照相机就能对基板和基座都进行拍摄,因此不提高成本也可以进行,能简化光学系统,并且由于能够进行远距离检测,因此不管是否加热基板都能使用。
专利文献1:日本特开2001-007009号公报
专利文献2:日本特开2007-142086号公报
专利文献3:日本特开2001-117064号公报
但是,本发明的发明人研究的结果,发现存在如下情况:通过照相机拍摄基板时,由于光的照射而产生检测误差,无法准确地检测基板位置。
发明内容
本发明是基于这样的研究结果而做成的,提供一种在基于基板摄像的基板位置检测中能够降低检测误差的基板位置检测装置、基板位置检测方法、具有基板位置检测装置的成膜装置、采用该成膜装置的成膜方法。
本发明的第1方案提供一种基板位置检测装置,该基板位置检测装置包括:摄像部,用于对作为位置检测对象的基板进行拍摄;板构件,其具有光散射性,被配置在摄像部和基板之间,具有用于确保摄像部相对于基板的视场的第1开口部;第1照明部,用于将光照射到板构件上;以及处理部,其用于根据由上述摄像部透过上述第1开口部所拍摄的图像来求出上述基板的位置。
本发明的第2方案提供一种基板位置检测方法,该基板位置检测方法包括以下工序:将作为位置检测对象的基板载置在基座的载置部上的工序;将光照射到被配置在基板的上方并具有光散射性的板构件的工序,该板构件具有开口部;透过上述开口部对包括基板和载置部在内的区域进行拍摄的工序,该区域被光所照射的板构件映照;基于区域的图像推断载置部的位置的工序;基于区域的图像推断基板的位置的工序;以及从载置部的位置和基板的位置判断基板是否处于规定的位置的工序。
本发明的第3方案提供一种成膜装置,该成膜装置通过执行在容器内将互相反应的至少两种反应气体按顺序供给到基板上的循环而将反应生成物的层生成在该基板上,从而对膜进行堆积。该成膜装置包括:基座,能够旋转地设置在容器内;载置部,被设置在基座的一个面上,用于载置基板;本发明所述的基板位置检测装置,用于对被载置在载置部上的基板的位置进行检测;第1反应气体供给部,构成为对基座的一个面供给第1反应气体;第2反应气体供给部,构成为沿着基座的旋转方向远离第1反应气体供给部,用于对基座的一个面供给第2反应气体;分离区域,其沿着旋转方向位于被供给第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域之间,用于分离第1处理区域和第2处理区域;中央区域,为了分离第1处理区域和第2处理区域,该中央区域位于容器的大致中央,具有沿着基座的一个面喷出第1分离气体的喷出孔;以及排气口,其是为了对容器进行排气而设置在容器上。分离区域包括:分离气体供给部,用于供给第2分离气体;以及顶面,用于相对于基座的一个面形成第2分离气体能够相对于旋转方向从分离区域流向处理区域侧的狭窄的空间。
本发明的第4方案提供一种采用第3方案的成膜装置而在基板上堆积膜的成膜方法。该成膜方法包括以下工序:将基板载置到载置部上的工序,该载置部被设置在能够旋转地设置于容器的基座的一个面上,用于载置基板;将光照射到具有光散射性的板构件上的工序,该板构件被配置在基板的上方,并具有开口部;透过开口部对包括基板和载置部在内的区域进行拍摄的工序,该区域被光所照射的板构件映照;基于区域的图像推断载置部的位置的工序;基于区域的图像推断基板的位置的工序;从载置部的位置和基板的位置判断基板是否处于规定的位置的工序;在判断为基板处于规定的位置的情况下,使载置有基板的基座旋转的工序;从第1反应气体供给部向基座的一个面供给第1反应气体的工序;从沿着基座的旋转方向远离第1反应气体供给部的第2反应气体供给部向基座的一个面供给第2反应气体的工序;从位于第1处理区域与第2处理区域之间的分离区域所设置的分离气体供给部供给第1分离气体而在形成于分离区域的顶面和基座之间的狭窄的空间中相对于旋转方向使第1分离气体从分离区域流向处理区域侧的工序,该第1处理区域被自第1反应气体供给部供给第1反应气体,该第2处理区域被自第2反应气体供给部供给第2反应气体;从形成在位于容器的中央部的中央部区域的喷出孔沿着一个面供给第2分离气体的工序;以及对容器进行排气的工序。
本发明的第5方案提供一种计算机可读存储介质,该计算机可读存储介质存储有使第1方案的基板位置检测装置实施如下基板检测方法的程序,该基板检测方法包括以下工序:将作为位置检测对象的基板载置到基座的载置部上的工序;将光照射到光散射性的板构件上的工序,该板构件被配置在上述基板的上方,并具有开口部;透过上述开口部对包括上述基板和上述载置部在内的区域进行拍摄的工序,该区域被上述光所照射的上述板构件映照;基于上述区域的图像推断上述载置部的位置的工序;基于上述区域的图像推断上述基板的位置的工序;从上述载置部的位置和上述基板的位置判断上述基板是否处于规定的位置的工序。
本发明的第6方案提供一种计算机可读存储介质,该计算机可读存储介质存储有使第3方案的成膜装置实施如下成膜方法的程序。该成膜方法包括以下工序:将上述基板载置到载置部上的工序,该载置部被设置在能够旋转地设置于上述容器内的基座的一个面上,用于载置上述基板;将光照射到光散射性的板构件上的工序,该板构件被配置在上述基板的上方,并具有开口部;透过上述开口部对包括上述基板和上述载置部在内的区域进行拍摄的工序,该区域被上述光所照射的上述板构件映照;基于上述区域的图像推断上述载置部的位置的工序;基于上述区域的图像推断上述基板的位置的工序;从上述载置部的位置和上述基板的位置判断上述基板是否处于规定的位置的工序;在判断为上述基板处于规定的位置的情况下,使载置有上述基板的上述基座旋转的工序;从第1反应气体供给部向上述基座的上述一个面供给第1反应气体的工序;从沿着上述基座的旋转方向远离上述第1反应气体供给部的第2反应气体供给部向上述基座的上述一个面供给第2反应气体的工序;从位于第1处理区域与第2处理区域之间的分离区域所设置的分离气体供给部供给第1分离气体而在形成于上述分离区域的顶面和上述基座之间的狭窄的空间中相对于上述旋转方向使上述第1分离气体从上述分离区域流向上述处理区域侧的工序,该第1处理区域被自上述第1反应气体供给部供给上述第1反应气体,该第2处理区域被自上述第2反应气体供给部供给上述第2反应气体;从形成在位于上述容器的中央部的中央部区域的喷出孔沿着上述一个面供给第2分离气体的工序;以及对上述容器进行排气的工序。
附图说明
图1是表示本发明的实施方式的基板位置检测装置的示意图。
图2是表示本发明的实施方式的基板位置检测方法的流程图。
图3是说明利用了图1的基板位置检测装置的成膜装置的晶圆的配置的图。
图4是将利用图1的基板位置检测装置并按照本发明的实施方式的基板位置检测方法所拍摄的图像(b)与为了比较位置检测方法所拍摄的图像(a)进行对比而加以表示的图。
图5是用于说明本发明的实施方式的基板位置检测装置和基板位置检测方法中的、推断晶圆的中心位置的图。
图6是示意性地表示本发明的另一实施方式的基板位置检测装置的图。
图7是表示具有图1的基板位置检测装置的、本发明的实施方式的成膜装置的示意图。
图8是表示图7的成膜装置的容器主体的内部的立体图。
图9是表示图7的成膜装置的容器主体的内部的俯视图。
图10是表示图7的成膜装置的气体供给喷嘴、基座以及凸状部之间的位置关系的图。
图11是图7的成膜装置的局部剖视图。
图12是图7的成膜装置的剖切立体图。
图13是表示图7的成膜装置的吹扫(purge)气体的流动的局部剖视图。
图14是表示进入到图7的成膜装置的容器主体内的输送臂的立体图。
图15是表示在图7的成膜装置的容器主体内流动的气体的流动形态(flow pattern)的俯视图。
图16是用于说明图7的成膜装置内的突出部的形状的图。
图17是表示图7的成膜装置的气体供给喷嘴的变形例的图。
图18是表示图7的成膜装置内的突出部的变形例的图。
图19是表示图7的成膜装置内的突出部和气体供给喷嘴的变形例的图。
图20是表示图7的成膜装置内的突出部的其他变形例的图。
图21是表示图7的成膜装置中的气体供给喷嘴的配置位置的变形例的图。
图22是表示图7的成膜装置内的突出部的又一变形例的图。
图23是表示在图7的成膜装置内相对于反应气体供给喷嘴而设置了突出部的例子的图。
图24是表示图7的成膜装置内的突出部的再一变形例的图。
图25是表示具有图1的基板位置检测装置的、本发明的另一实施方式的成膜装置的示意图。
图26是表示包括图7或图25的成膜装置的基板处理装置的示意图。
图27是用于说明本发明的另一实施方式的基板位置检测装置的示意图。
图28是表示本发明的另一实施方式的基板位置检测方法的流程图。
图29是用于说明本发明的另一实施方式的基板位置检测方法的示意图。
具体实施方式
根据本发明的实施方式,提供一种在基于基板的摄像的检测基板位置中能够降低检测误差的基板位置检测装置、基板位置检测方法、具有基板位置检测装置的成膜装置、采用该成膜装置的成膜方法。
下面,参照附图,说明本发明的并非用于限定的例示的实施方式。在全部附图中对相同或对应的构件或部件标注相同或相对应的附图示记,省略重复的说明。而且,附图不以表示构件或部件间的相对比例为目的,因此具体的厚度和尺寸参照以下的并非用于限定的实施方式,而应该由本领域技术人员决定。
基板位置检测装置
图1是表示本发明的一实施方式的基板位置检测装置的概略图。如图所示,本实施方式的基板位置检测装置101包括:壳体102;照相机104,其被安装在壳体102内,对作为位置检测对象的晶圆W进行拍摄;板106,其在壳体102内,被配置在照相机104的下方;以及将光照射到板106上的光源108。
在本实施方式中,壳体102被配置在收纳有作为位置检测的对象的晶圆W的成膜装置200之上。壳体102在下部具有开口部,具有遮蔽该开口部的透明的窗102a。而且,在壳体102的上方的侧壁上连接有配管102b,在下方的侧壁上连接有配管102c。如图1中的双点划线的箭头所示,例如通过使清洁空气从配管102b流入而从配管102c排气,能对安装在壳体102内的照相机104进行冷却。而且,在位置检测时晶圆W被加热的情况下,窗102a被辐射热加热,由此有时产生升腾的热气流,造成图像不清楚。但是,利用上述的清洁空气也能冷却窗102a,能降低因升腾的热气流所导致的图像的不清楚。
照相机104具有作为摄像元件的电荷耦合元件(CCD),以面对壳体102的开口部和窗102a的方式被安装在壳体102的上方部。通过该结构,照相机104能透过窗102a和被气密地设置在成膜装置200的顶板11上的观察口201对载置在成膜装置200内的基座2上的晶圆W进行拍摄。
而且,控制部104a与照相机104电连接。通过控制部104a来控制照相机104的动作(开/关、对焦、拍摄等),并且对由照相机104所得到的图像数据进行处理。该处理包含从图像数据求出晶圆W和基座2的位置的运算处理。而且,控制部104a通过规定的输入输出装置(未图示)对存储在存储介质中的程序进行下载,通过按照该程序来控制照相机104和光源108等各构成,从而实施后述的基板位置检测方法。
在本实施方式中,板106是由涂敷了白色颜料的乳白色的丙烯板制造的,在壳体102内被安装在照相机104和窗102a之间。在板106的大致中央形成有开口部106a,透过开口部106a,照相机104能对成膜装置200内的晶圆W及其周边进行拍摄。因此,开口部106a的位置和大小可被决定成,使照相机104能对晶圆W及其周边的区域进行拍摄,具体来说,使照相机104能对被用于检测晶圆位置的晶圆W的边缘和形成在基座2上的位置检测用标记2a(后述)进行拍摄,而且,也可以再多考虑板106和照相机104之间的距离来决定。
而且,在板106上的不妨碍照相机104对晶圆W等进行拍摄的位置形成有一个或多个开口部106b。开口部106b是为了促进从与壳体102连接的配管102a所供给的清洁空气的流动而设置的。
在本实施方式中,光源108在板106和窗102a之间被安装在壳体102的内侧壁上。因此,光源108能将光照射到板106的下表面上,而且,光不会透过板106的开口部106a而照射到照相机104上。光源108也可以沿上下方向能够旋转地安装,并且,优选设置规定的马达等来进行照射方向的切换。这样一来,能够将光照射到光源108的上方的板106上,或将光照射到光源108的下方的晶圆W上。
在本实施方式中,光源108包括白色发光二极管(LED)108a,而且,具有对白色LED供给电力的电源108b。电源108b能改变输出电压,由此,能够调整被板106间接地照射光的晶圆W的照度。通过调整照度,照相机104能够拍摄更清楚的图像。
通过下面的基板位置检测方法,进一步清楚地说明如上所述那样构成的、本发明的实施方式的基板位置检测装置101所起到的效果、优点。
基板位置检测方法
参照图1~图5对本发明的一实施方式的基板位置检测方法进行说明。在此,对采用上述基板位置检测装置101来检测被搬入成膜装置200内并被载置在基座2上的晶圆W的位置的情况进行说明。另外,如图3所示,成膜装置200所采用的基座2具有以等角度间隔(大约72°)载置有5张晶圆的载置部24。晶圆的位置检测例如是在将晶圆搬入到成膜装置200内并载置在规定的载置部上时进行的,是对1次运行所搬入的5张以下的各晶圆依次进行的。而且,载置部24例如也可以是具有内径比晶圆W的直径大的圆形的凹部。具体来说,对于具有大约300mm(12英寸)的直径的晶圆W,凹状的载置部24的内径例如可以是大约304mm~大约308mm。
首先,在步骤S21(图2)中,晶圆W被具有叉状件的输送臂(未图示)搬入成膜装置200的容器主体12(图1)内,被由能够通过被设置在基座2的通孔进行升降的升降销16(图3)从输送臂被载置到载置部24上。接着,该晶圆W利用基座2的旋转,被移动到被基板位置检测装置101的照相机104拍摄的位置(以下称为拍摄位置)。
接着,基板位置检测装置101的光源108点亮,光被照射到板106的下表面。然后,利用基板位置检测装置101的照相机104对包括晶圆W的边缘的区域及其周边的基座2进行拍摄(步骤S22),由控制部104a收集图像数据。如图4的(b)所示,表示由照相机104所得到的图像的一个例子。如图所示,晶圆W大致同样地用白色表示,基座2用黑色表示。另外,在图中能看见晶圆W的黑色的长方形是板106的开口部106b。
接着,利用控制部104a检测被设置在成膜装置200的基座2上的位置检测用标记2a。该检测能够基于预先存储在控制部104a中的位置检测用标记2a的形状或图案等的图像处理来进行。并且,基于检测到的位置检测用标记2a的位置,推断出对作为检测对象的晶圆W进行载置的载置部24的中心位置(步骤S23)。为了该推断,例如如图5所示,位置检测标记2a优选形成为位置检测标记2a的中心和载置部24的中心C位于规定的轴上。这样一来,通过预先被决定的、距位置检测标记2a的中心的距离能够容易推断载置部24的中心C的位置。
接着,控制部104a在由照相机104所得到的图像中识别晶圆W的边缘线。该识别也可以利用控制部104a所预先设置的边缘识别功能来进行。随后,例如能通过求出与边缘线相切的多个切线及在该切点交叉的多个直线(法线)相交的点(座标),推断晶圆W的中心WO(图5)的位置(步骤S24)。
随后,求出被推断的晶圆W的中心WO的位置与载置部24的中心C的位置之间的距离d。在此,在图5所示的座标轴中,载置部24的中心C用点(XC,YC)表示,晶圆W的中心WO用点(XW,YW)表示时,下面式(1)的关系式成立。
d2=((XW-XC)2+(YW-YC)2)/CF2...式(1)
在式(1)中,CF是换算系数,例如表示实际的尺寸与CCD上的象素间的距离的比。
之后,用基于式(1)求出的距离d,判断晶圆W是否处于规定的范围内(步骤S25)。例如,对于具有Dwmm的直径的晶圆W,在载置部24是凹部且其内径是D0mm的情况下,满足下面式(2)、式(3)的关系时,晶圆W的中心WO进入到以载置部24的中心C为圆心的半径L的圆R的内侧。
0≤d2≤L2...式(2)
L=(D0-Dw)/2...式(3)
即,这种情况下,被判断为晶圆W被收纳在载置部24内,晶圆W的位置处于规定的范围内。
另外,将晶圆W向载置部24载置的情况下,不采用升降销16而使用具有终端操作装置的输送臂时,也可以根据终端操作装置的尺寸,采用下面的关系式式(4)、式(5)判断晶圆W的位置是否处于规定的范围内。
0≤d2≤L12...式(4)
L1<L=(D0-Dw)/2...式(5)
而且,在上述的摄像、中心推断和进行判断的期间,在成膜装置200中,下一晶圆W被载置在与载置有进行了摄像等处理的晶圆W的载置部24相邻的载置部24上。由此,能不浪费时间地进行晶圆W的位置检测和晶圆W的搬入,能防止生产率降低。
距离d处于规定的范围内的情况下(步骤S25:YES),控制部104a询问将晶圆W搬入到成膜装置200的作业是否结束(步骤S26),在得到还有剩余的晶圆W的信息的情况下,返回到步骤S22。即,成膜装置200的基座2旋转,下一晶圆W被移动到拍摄位置,该晶圆W的边缘及其周边区域被拍摄,之后,对该晶圆W一直进行到步骤S25。以后,同样地反复进行步骤S21~S25直到对被载置于基座2上的全部晶圆W的位置检测结束。
而且,在距离d被判断为不在规定的范围内的情况下(步骤S25:NO),从控制部104a发出警报,从控制部104a对成膜装置200发送要求动作中止的信号(步骤S27),由此,该成膜装置200成为待机状态。这种情况下,由成膜装置200的操作者按照规定的顺序进行将被判断为不在规定的位置的晶圆W载置到规定的位置这样的手动作业。
在步骤S26中,被判断为没有剩余的晶圆W、即、全部(5张)的晶圆W处于规定的位置时(步骤S26:NO),在成膜装置200中,在晶圆W上形成规定的膜(步骤S28)。成膜结束时,晶圆W被输送臂从成膜装置200的容器主体12搬出。但是,也可以在搬出之前,仿照步骤S21~S27,再次进行晶圆W的位置检测。成膜后的位置检测在用于防止如下状态方面是有效的:在成膜过程中基座2旋转使得晶圆W的位置偏离的情况下,例如具有终端操作装置的输送臂无法抓住晶圆W。
下面一边比较图4的(a)和图4的(b),一边说明本实施方式的基板位置检测方法的效果和优点。图4的(a)是表示为了比较而对晶圆W及其周边区域直接照射光并进行拍摄的图像。这种情况下,晶圆W用黑色表示。因此,由于基座2的载置部24的内周壁产生的影子和/或由于晶圆W的厚度产生的影子与晶圆W的边缘重叠时,就无法准确地识别晶圆W的边缘。结果,就无法准确地把握晶圆W的中心和晶圆W的位置。而且,晶圆W的边缘向外倾斜,因此有时从该倾斜面产生强烈的反射光。这样一来,晶圆W的边缘的一部分在图像上看起来强烈地发亮,也成为边缘的圆弧形状走样、无法准确地推断晶圆W的中心的状态。
另一方面,采用本发明的实施方式的基板位置检测方法,如图4的(b)所示,晶圆W用白色表示。该理由如下所述。板106是如上所述那样用涂敷了白色颜料的丙烯板制造的,因此从光源108将光照射到板106的下表面(面对晶圆W的面)时,板106的整体大致均匀地发出白色的光。此时,配置在板106的下方的晶圆W被大致均匀地发出白色的光的板106所照射,或者,被这样发光的板106映照,因此看起来成均匀的白色。因此,在由照相机104所拍摄的图像中,包含晶圆W的边缘的区域也看起来均匀地发亮。另一方面,载置有晶圆W的基座2也由碳、SiC涂层碳制造,即使被来自板106的光映照也看起来发黑。因此,在晶圆W和基座2之间产生强烈的对比。而且,光自板106从各种方向到达晶圆W和基座2,因此不易产生晶圆W和载置部24的影子。因此,晶圆W的边缘被清晰地识别,可防止检测误差的降低。
而且,板106在整个面均匀地发光,因此没有自晶圆W的边缘强烈的反射,也不会由于来自边缘的反射光而产生检测误差。并且,也没有来自晶圆表面的强烈反射的反射光,在照相机104中也不会产生反射光斑等,因此能清晰地识别晶圆W的边缘。
从以上内容理解了本发明的实施方式的基板位置检测装置和基板位置检测方法的效果和优点。
具有基板位置检测装置的成膜装置
下面参照图7~图25说明具有本发明的实施方式的上述基板位置检测装置的本发明的另一实施方式的成膜装置。
如图7(图9的B-B剖视图)所示,本发明的实施方式的成膜装置200包括:平面(俯视)形状为大概圆形的扁平的真空容器1;以及基座2,其被设置在该真空容器1内,在该真空容器1的中心具有旋转中心。真空容器1构成为顶板11能从容器主体12分离。顶板11在内部的减压状态的作用下夹着密封构件例如O形密封圈13被压靠在容器主体12侧,由此该真空容器1被气密地封闭。另一方面,需要将顶板11从容器主体12分离时,由未图示的驱动机构将顶板11向上方抬起。
而且,在顶板11上利用O形密封圈等未图示的密封构件而相对于真空容器1气密地设置例如用石英玻璃制造的观察口201。在顶板11的上表面上以观察口201与窗102a相对的方式可装卸地安装有基板位置检测装置101。基板位置检测装置101的构成如上所述。采用基板位置检测装置101来实施本发明的实施方式的上述的基板位置检测方法,能检测出被载置在成膜装置200内的基座2(后述)上的晶圆W(图7)的位置。
基座2的中心部被固定在圆筒形状的芯部21上,该芯部21被固定在沿铅直方向延伸的转轴22的上端。转轴22贯穿容器主体12的底面部14,其下端被安装在使该转轴22绕铅直轴线(在本例中沿顺时针方向)旋转的驱动部23上。转轴22和驱动部23被收纳在上表面开口的筒状壳体20内。该壳体20借助设置在其上表面的凸缘部分20a而被气密地安装在真空容器1的底面部14的下表面上,由此,壳体20的内部气氛被自外部气氛隔离。
如图8和图9所示,在基座2的上表面上形成有分别载置晶圆W的多个(在图示的例子为5个)的圆形凹部状的载置部24。但是,在图9中仅表示1张晶圆W。载置部24以相互大约72°的角度间隔被配置在基座2上。
在此,参照图10的(a),表示载置部24和被载置在载置部24上的晶圆W的截面。如图10的(a)所示,载置部24具有比晶圆W的直径稍大、例如大4mm的直径以及与晶圆W的厚度相等的深度。因此,晶圆W被载置在载置部24上时,晶圆W的表面与基座2的除了载置部24之外的区域的表面处于相同的高度。假设晶圆W与该区域之间存在比较大的高度差时,气流由于该高度差而产生紊流,晶圆W上的膜厚均匀性受到影响。因此,2个的表面处于相同的高度。“相同的高度”在此是指高度之差是大约5mm以下,但该高度之差在加工精度许可的范围尽可能趋于零。
而且,在载置部24的底部形成有3个通孔(未图示),3个升降销16通过这3个通孔进行升降(参照图14)。升降销16支承晶圆W的背面而使晶圆W升降。
如图8、图9和图14所示,在容器主体12的侧壁上形成有输送口15。晶圆W通过输送口15之后而由输送臂10输送到真空容器1中,或从真空容器1向外输送。在该输送口15上设有闸阀(未图示),输送口15被该闸阀开闭。一载置部24排列在输送口15,当闸阀打开时,晶圆W被输送臂10输送到真空容器1内,并被从输送臂10放置到载置部24上。为了将晶圆W从输送臂10放到载置部24上或者将晶圆W从载置部24抬起而设有升降销16(图14),升降销16在升降机构(未图示)的作用下通过被形成在基座2的载置部24上的通孔而进行升降。这样一来,晶圆W被载置在载置部24上。
在此,说明基板位置检测装置101与基座2、载置部24和输送口15之间的平面(俯视)的位置关系,如图9所示,基板位置检测装置101被配置在从输送口15的中心偏离了大约72°的位置。由此,基座2的5个载置部24中的一个排列在输送口15时,该载置部24的相邻的载置部24位于基板位置检测装置101的下方。因此,将晶圆W载置在排列于输送口15的载置部24上的期间,该相邻的的载置部24所载置的晶圆W的边缘及该边缘周边区域进入到照相机104(图1)的视场F中,通过上述的基板位置检测方法,能够判断该晶圆W是否处于规定的位置。换句话说,在对一个晶圆W进行位置检测的期间,能将另一晶圆W载置到相邻的载置部24上。这样一来,5张晶圆W依次被载置到载置部24上并被进行位置检测,因此能够防止由于基板位置检测而使生产率降低。
参照图8和图9,在基座2的上方包括第1反应气体供给喷嘴31、第2反应气体供给喷嘴32和分离气体供给喷嘴41、42,这些喷嘴以规定的角度间隔沿径向延伸。通过该结构,载置部24能通过喷嘴31、32、41和42的下方。在图示的例子中,第2反应气体供给喷嘴32、分离气体供给喷嘴41、第1反应气体供给喷嘴31和分离气体供给喷嘴42按该顺序沿顺时针方向配置。这些气体喷嘴31、32、41、42贯穿容器主体12的周壁部,通过将作为气体导入件31a、32a、41a、42a的端部安装到壁的外周壁而被支承。气体喷嘴31、32、41、42在图示的例子中从真空容器1的周壁部被导入到真空容器1内,但也可以从环状的突出部5(后述)导入。在从环状的突出部5导入上述气体喷嘴31、32、41、42的情况下,设置有开口在突出部5的外周面、顶板11的外表面的L字型的导管,在真空容器1内,L字型的导管的一个开口与气体喷嘴31(32、41、42)连接,在真空容器1的外部,L字型的导管的另一开口与气体导入件31a(32a、41a、42a)连接。
虽未图示,但反应气体供给喷嘴31与作为第1反应气体的双叔丁基氨基硅烷(BTBAS)的气体供给源连接,反应气体供给喷嘴32与作为第2反应气体的臭氧(O3)的气体供给源连接。
在反应气体供给喷嘴31、32上沿喷嘴的长度方向隔开间隔地排列有用于将反应气体向下方侧喷出的喷出孔33。在本实施方式中,喷出孔33具有大约0.5mm的口径,沿着反应气体供给喷嘴31、32的长度方向隔开大约10mm的间隔地排列。而且,反应气体供给喷嘴31的下方区域是用于将BTBAS气体吸附到晶圆上的第1处理区域P1,反应气体供给喷嘴32的下方区域是用于将O3气体吸附到晶圆上的第2处理区域P2。
另一方面,分离气体供给喷嘴41、42与氮气(N2)的气体供给源(未图示)连接。分离气体供给喷嘴41、42具有用于将分离气体向下方侧喷出的喷出孔40。喷出孔40沿长度方向以规定的间隔配置。在本实施方式中,喷出孔40具有大约0.5mm的口径,沿着分离气体供给喷嘴41、42的长度方向以大约10mm的间隔地排列。
分离气体供给喷嘴41、42被设置在构成为用于将第1处理区域P 1、第2处理区域P2分离的分离区域D。在各分离区域D中,如图8~图10所示,在真空容器1的顶板11上设有凸状部4。凸状部4具有扇形的上表面形状,其顶部位于真空容器1的中心,圆弧位于沿着容器主体12的内周壁的附近的位置。而且,凸状部4具有以将凸状部4一分为二的方式沿径向延伸的槽部43。在槽部43中收容分离气体供给喷嘴41(42)。分离气体供给喷嘴41(42)的中心轴线与扇形的凸状部4的一个边之间的距离同分离气体供给喷嘴41(42)的中心轴线与扇形的凸状部4的另一个边之间的距离大致相等。另外,在本实施方式中,槽部43形成为将凸状部4二等分,但在其他实施方式中,例如,也可以以凸状部4中的基座2的旋转方向上游侧变宽的方式形成槽部43。
根据上述的结构,如图10的(a)所示,分离气体供给喷嘴41(42)的两侧具有平坦的低的顶面44(第1顶面),低的顶面44的两侧存在高的顶面45(第2顶面)。凸状部4(顶面44)用于形成作为狭窄的空间的分离空间,该分离空间用于阻止第1和第2反应气体进入凸状部4和基座2之间而混合。
参照图10的(b),沿着基座2的旋转方向而从反应气体供给喷嘴32朝着凸状部4流动的O3气体被阻止进入到该空间内,而且沿着与基座2的旋转方向相反的方向从反应气体供给喷嘴31朝着凸状部4流动的BTBAS气体被阻止进入到该空间内。所谓“气体被阻止进入”是指从分离气体供给喷嘴41喷出的作为分离气体N2气体扩散在第1顶面44和基座2的表面之间,在本例子中吹到与该第1顶面44相邻的第2顶面45的下方侧的空间,由此来自第2顶面45的下方侧空间的气体无法进入。并且,所谓“气体无法进入”不仅是指完全无法从第2顶面45的下方侧空间进入到凸状部4的下方侧空间的情况,而且也指即使反应气体的一部分进入,该反应气体也无法进一步朝着分离气体供给喷嘴41前进,从而无法互相混杂的情况。即,只要能得到这样的作用,则分离区域D就分离第1处理区域P1、第2处理区域P2。而且,吸附在晶圆上的气体当然能通过分离区域D内。因此,阻止气体的进入是指阻止气相中的气体的进入。
参照图7~图9,在顶板11的下表面上设有环状的突出部5,该环状的突出部5被配置成内周缘面对芯部21的外周面。突出部5在芯部21的外侧的区域与基座2相对。而且,突出部5与凸状部4形成为一体,凸状部4的下表面和突出部5的下表面形成为一个平面。即,突出部5的下表面距基座2的高度与凸状部4的下表面(顶面44)距基座2的高度相等。该高度为之后提及的高度h。但是,突出部5和凸状部4也可以未必形成为一体,也可以分别独立地形成。另外,图8和图9表示将凸状部4留在真空容器1内而将顶板11卸下后的真空容器1的内部结构。
在本实施方式中,分离区域D是通过在用来成为凸状部4的扇形金属板上形成槽部43并将分离气体供给喷嘴41(42)配置在槽部43而形成的。但是,也能以将2个扇形金属板配置在分离气体供给喷嘴41(42)的两侧的方式,将这2个扇形金属板用螺钉安装在顶板11的下表面上。
在本实施方式中,直径大约300mm的晶圆W在真空容器1内被处理的情况下,凸状部4在离开基座的旋转中心140mm的、沿着内侧的圆弧li(图9)上具有例如140mm的周向长度,在沿着与基座2的载置部24的最外部相对应的外侧的圆弧lo(图9)上具有例如502mm的周向长度。而且,沿着外侧的圆弧lo的、从凸状部4的一侧壁到与槽部43的最近的侧壁的周向长度是大约246mm。
而且,凸状部4的下表面、即、顶面44的、距基座2的表面测量的高度h(图10的(a))例如也可以是大约0.5mm~大约10mm,优选是大约4mm。而且,基座2的转速例如被设置为1rpm~500rpm。为了确保分离区域D的分离功能,也可以根据真空容器1内的压力和基座2的转速等,例如通过实验等方法设定凸状部4的大小、凸状部4的下表面(第1顶面44)与基座2的表面之间的高度h。另外,作为分离气体,本实施方式是N2气体,但只要分离气体不对氧化硅的成膜造成影响,也可以是He和Ar气体等惰性气体和氢气等。
图11表示图9的A-A剖视图的一半,在图11中图示了凸状部4以及与凸状部4一体地形成的突出部5。参照图11,凸状部4在其外缘具有呈L字状弯曲的弯曲部46。凸状部4安装在顶板11上并能与顶板11一起从容器主体12分离,因此在弯曲部46和基座2之间以及在弯曲部46和容器主体12之间存在微小的间隙,但弯曲部46大致填堵基座2和容器主体12之间的空间,防止来自反应气体供给喷嘴31a的第1反应气体(BTBAS)和来自反应气体供给喷嘴32a的第2反应气体(臭氧)通过该间隙而混合。在弯曲部46和容器主体12之间的间隙以及在弯曲部46和基座2之间的微小的间隙形成为与从上述基座到凸状部4的顶面44的高度h大致相同的尺寸。在图示的例子中,弯曲部46的面对基座2的外周面的侧壁构成分离区域D的内周壁。
再次参照图9的B-B剖视图的图7,容器主体12在与基座2的外周面相对的内周部具有凹部。下文将该凹部称为排气区域6。在排气区域6的下方设有排气口61(其他排气口62参照图9),在该排气口61上,对于其他排气口62也一样,经由所能使用的排气管63与真空泵64相连接。而且,在排气管63上设有压力调整器65。也可以将多个压力调整器65设置在对应的排气口61、62上。
再次参照图9,从上方来看,排气口61被配置在第1反应气体供给喷嘴31与相对于第1反应气体供给喷嘴31而言位于基座2的顺时针旋转方向的下游的凸状部4之间。通过这样的结构,排气口61实质上能专门对来自第1反应气体供给喷嘴31的BTBAS气体进行排气。另一方面,从上方来看,排气口62被配置在第2反应气体供给喷嘴32、与相对于第2反应气体供给喷嘴32而言位于基座2的顺时针旋转方向的下游的凸状部4之间。通过这样的结构,排气口62实质上能专门对来自第2反应气体供给喷嘴32的O3气体进行排气。因此,这样构成的排气口61、62能辅助性地防止BTBAS气体和O3气体在分离区域D混合。
在本实施方式中,2个排气口被设置在容器主体12上,但在其他实施方式中,也可以设置3个排气口。例如,也可以在第2反应气体供给喷嘴32、与相对于第2反应气体供给喷嘴32而言位于基座2的顺时针旋转方向的上游的分离区域D之间设置追加的排气口。而且,还可以在任意处设置追加的排气口。在图示的例子中,通过排气口61、62设置在比基座2低的位置,从真空容器1的内周壁与基座2的周缘之间的间隙进行排气,排气口61、62也可以设置在容器主体12的侧壁上。而且,将排气口61、62设置在容器主体12的侧壁上的情况下,排气口61、62也可以设置在比基座2高的位置。在排气口61、62设置在比基座2高的位置的情况下,气体沿着基座2表面流动,流入位于比基座2的表面高的位置的排气口61、62。因此,在真空容器1内的微粒不会被吹起这方面,与排气口例如被设置在顶板11上的情况相比是有利的。
如图7、图11和图12所示,在基座2与容器主体12的底部14之间的空间设置有作为加热部的环状加热器单元7,由此,基座2上的晶圆W隔着基座2被加热到工艺制程程序所决定的温度。而且,罩构件71在基座2的下方并在基座2的外周的附近围着加热器单元7设置,放置有加热器单元7的空间被从加热器单元7外侧的区域划分出来。罩构件71在上端具有凸缘部71a,凸缘部71a为了防止气体流入罩构件71内,被配置成在基座2的下表面与凸缘部之间维持微小的间隙。
再次参照图7,底部14在环状的加热器单元7的内侧具有隆起部。隆起部的上表面接近于基座2和芯部21,在隆起部的上表面与基座2之间以及在隆起部的上表面与芯部21的背面之间存在微小的间隙。而且,底部14具有供转轴22穿过的中心孔。该中心孔的内径稍大于转轴22的直径,留有通过凸缘部20a而与壳体20相连通的间隙。吹扫气体供给管72与凸缘部20a的上部连接。而且,为了对加热器单元7所收容的区域进行吹扫,多个吹扫气体供给管73以规定的角度间隔与加热器单元7的下方的区域连接。
根据这样的构成,N2吹扫气体从吹扫气体供给管72起,通过转轴22与底部14的中心孔之间的间隙、芯部21与底部14的隆起部之间的间隙、底部14的隆起部与基座2的背面之间的间隙向加热器单元7的空间流动。而且,N2气体从吹扫气体供给管73向加热器单元7下面的空间流动。然后,这些N2吹扫气体通过罩构件71的凸缘部71a与基座2的背面之间的间隙流入排气口61。N2吹扫气体的这样的流动在图13中以箭头表示。N2吹扫气体作为防止第1(第2)反应气体在基座2的下方的空间进行回流而与第2(第1)反应气体混合的分离气体起作用。
参照图13,真空容器1的顶板11的中心部连接有分离气体供给管51,由此,作为分离气体的N2气体被供给到顶板11和芯部21之间的空间52中。被供给到该空间52中的分离气体通过突出部5和基座2之间的狭小的间隙50,沿着基座2的表面流动,到达排气区域6。该空间52和间隙50充满分离气体,因此反应气体(BTBAS、O3)不会经由基座2的中心部而混合。即,本实施方式的成膜装置200设置有中心区域C,该中心区域C构成为,是为了分离第1处理区域P1、第2处理区域P2而利用基座2的旋转中心部、真空容器1划分而成的,具有将分离气体朝着基座2的上表面喷出的喷出孔。另外,在图示的例子中,喷出孔相当于突出部5和基座2之间的狭小的间隙50。
而且,在本实施方式的成膜装置200上设有用于进行装置整体的动作的控制的控制部100。该控制部100例如包括由计算机构成的工艺控制器100a、用户接口部100b和存储装置100c。用户接口部100b具有显示成膜装置200的动作状况的显示器、和用于成膜装置200的操作者选择工艺制程程序、或用于工艺管理人变更工艺制程程序的参数的键盘或触摸面板(未图示)等。
存储装置100c存储有使处理控制器100a实施各种工艺的控制程序、工艺制程程序以及各种工艺中的参数等。而且,这些程序具有例如用于进行后述的动作的步骤组。这些控制程序、工艺制程程序根据来自用户接口部100b的指令,由处理控制器100a读取、执行。而且,这些程序也可以被存储在计算机可读存储介质100d中,通过与计算机可读存储介质100d相对应的输入输出装置(未图示)安装到存储装置100c中。计算机可读存储介质100d也可以是硬盘、CD、CD-R/RW、DVD-R/RW、软盘、半导体存储器等。而且,程序也可以通过通信电路下载到存储装置100c中。
而且,成膜装置200的控制部100与基板位置检测装置101的控制部104a之间收发信号。例如,成膜装置200的控制部100在从基板位置检测装置101的控制部104a处收到表示对没进行基板位置检测的晶圆W的询问的信号的情况下,例如将表示有无剩余晶圆W的信号发送给基板位置检测装置101的控制部104a。而且,从基板位置检测装置101的控制部104a接收到表示晶圆W没有处于规定的位置的信号的情况下,成膜装置200的控制部100停止成膜装置200的动作,使成膜装置200转到待机状态。并且,成膜装置200的控制部100也可以从规定的输入输出装置读取使基板位置检测装置101实施上述基板位置检测方法的程序、即被存储到规定的计算机可读存储介质中的程序,按照该程序,通过基板位置检测装置101的控制部104a使基板位置检测装置101实施基板位置检测方法。而且,成膜装置200的控制部100也能从规定的计算机可读存储介质读取使基板位置检测装置101实施上述基板位置检测方法的程序,向基板位置检测装置101的控制部104a传送。这种情况下,基板位置检测装置101的控制部104a按照该程序来控制基板位置检测装置101的各种构成,实施上述基板位置检测方法。
接着,说明本实施方式的成膜装置200的动作(成膜方法)。第一,旋转基座2,使得载置部24排在输送口15处,打开闸阀(未图示)。第二,利用输送臂10经由输送口15将晶圆W搬运到真空容器1。晶圆W由升降销16接收,输送臂10从真空容器1被拔出之后,利用被升降机构(未图示)驱动的升降销16,使晶圆W落到载置部24上。由此,晶圆W被载置到该载置部24上。
接着,基座2旋转大约72°,晶圆W和载置有晶圆W的载置部24位于基板位置检测装置101的下方。然后,对该晶圆W进行上述基板位置检测方法。而且,在此期间,输送臂10和升降销16动作,晶圆W被载置到与该载置部24相邻并与输送口15面对的载置部24上。
上述一连串的动作被反复进行5次,确认了5张晶圆W被载置在基座2上的规定的位置之后,或者,被判断为没有处于规定的位置的晶圆W已被载置在规定的位置之后,真空容器1内被真空泵64抽真空到预先设定的压力。基座2从上面来看开始沿顺时针方向旋转。基座2被加热器单元7加热到预先规定的温度(例如300℃),晶圆W通过被载置在该基座2上而被加热。通过温度传感器(未图示)确认了晶圆W被加热并被维持在规定的温度之后,第1反应气体(BTBAS)通过第1反应气体供给喷嘴31而被供给到第1处理区域,第2反应气体(O3)通过第2反应气体供给喷嘴32而被供给到第2处理区域P2。另外,供给分离气体(N2)。
晶圆W通过第1反应气体供给喷嘴31的下方的第1处理区域P1时,BTBAS分子吸附在晶圆W的表面上,晶圆W通过第2反应气体供给喷嘴32的下方的第2处理区域P2时,O3分子被吸附在晶圆W的表面上,BTBAS分子被O3氧化。因此,晶圆W利用基座2的旋转,通过区域P1、P2这两者一次,就有一层氧化硅的单分子层形成在晶圆W的表面上。随后晶圆W交替地多次通过区域P1、P2,具有规定的膜厚的氧化硅膜被堆积在晶圆W的表面上。堆积了具有规定的膜厚的氧化硅膜之后,停止BTBAS气体和臭氧气体,停止基座2的旋转。然后,晶圆W通过与搬入动作相反的动作按顺序被输送臂10从真空容器1搬出。而且,也可以根据需要,在输出之前进行上述基板位置检测方法。
而且,在上述的成膜动作过程中,还从分离气体供给管51供给作为分离气体的N2气体,由此从该中心区域C、即从突出部5和基座2之间的间隙50沿着基座2的表面喷出N2气体。在本实施方式中,第2顶面45之下的空间、即配置有反应气体供给喷嘴31(32)的空间,具有比中心区域C与基座2之间以及第1顶面44与基座2之间的狭窄的空间低的压力。其原因在于,与顶面45之下的空间相邻地设置排气区域6,该顶面45下的空间通过排气区域6而直接被排气。而且,原因也在于,狭窄的空间被形成为,使得配置有反应气体供给喷嘴31(32)的空间与狭窄的空间之间的压力差,或第1(第2)的处理区域P1(P2)与狭窄的空间之间的压力差能够被高度h维持。
接着,参照图15对从气体喷嘴31、32、41、42向真空容器1内供给的气体的流动形态进行说明。图15是示意性地表示流动形态的图。如图所示,从第2反应气体供给喷嘴32喷出的O3气体的一部分碰到基座2的表面(以及晶圆W的表面),沿着该表面向与基座2的旋转方向的反方向流动。随后,该O3气体被从基座2的旋转方向的上游侧流来的N2气体吹回,向基座2的周缘和真空容器1的内周壁变向。最后,O3气体流入排气区域6,通过排气口62而从真空容器1排出。
从第2反应气体供给喷嘴32喷出的O3气体的其他部分碰到基座2的表面(以及晶圆W表面),沿着该表面而在与基座2的旋转方向相同的方向流动。该部分的O3气体主要是在从中心区域C流动的N2气体和排气口62的吸引力的作用下而朝着排气区域6流动。另一方面,该部分的O3气体的少量部分朝着相对于第2反应气体供给喷嘴32位于基座2的旋转方向的下游侧的分离区域D流动,有可能进入到顶面44和基座2之间的间隙。但是,在预定的成膜条件下,该间隙的高度h被设定为阻止流入该间隙那样程度的高度,因此O3气体进入该间隙的情况被阻止。例如,即使少量的O3气体流入该间隙,该O3气体也无法流入到分离区域D的深处。流入间隙的少量的O3气体被从分离气体供给喷嘴41喷出的分离气体吹回。因此,如图15所示,沿着旋转方向在基座2的上表面流动的、实质上全部的O3气体流向排气区域6并被排气口62排出。
同样,从第1反应气体供给喷嘴31喷出并向与基座2的旋转方向的反方向沿着基座2的表面流动的一部分的BTBAS气体,被防止流入相对于第1反应气体供给喷嘴31位于旋转方向上游侧的凸状部4的顶面44与基座2之间的间隙。例如,即使少量的BTBAS气体流入了,也被从分离气体供给喷嘴41喷出的N2气体吹回。被吹回的BTBAS气体与来自分离气体供给喷嘴41的N2气体和从中心区域C喷出的N2气体一起朝着基座2的外周缘和真空容器1的内周壁流动,经由排气区域6通过排气口61被排出。
从第1反应气体供给喷嘴31向下方侧喷出并在与基座2的旋转方向相同的方向沿着基座2的表面(以及晶圆W表面)流动的其他部分的BTBAS气体,无法流入相对于第1反应气体供给喷嘴31位于旋转方向下游侧的凸状部4的顶面44与基座2之间。例如,即使少量的BTBAS气体流入了,也被从分离气体供给喷嘴42喷出的N2气体吹回。被吹回的BTBAS气体与来自分离区域D的分离气体供给喷嘴42的N2气体和从中心区域C喷出的N2气体一起朝着排气区域6流动,被排气口61排出。
如上所述,分离区域D或能够防止BTBAS气体和O3气体流入分离区域D,或能够充分地降低BTBAS气体和O3气体流入分离区域D的量,或能够吹回BTBAS气体和O3气体。允许吸附在晶圆W上的BTBAS分子和O3分子穿过分离区域D,有助于膜的堆积。
而且,如图13和图15所示,由于分离气体从中心区域C朝着基座2的外周缘喷出,因此第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)无法流入中心区域C。例如,即使第1处理区域P1的少量的BTBAS(第2处理区域P2的O3气体)流入了中心区域C,该BTBAS气体(O3气体)也被N2气体吹回,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)通过中心区域C而流入第2处理区域P2(第1处理区域P1)的情况被阻止。
而且,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)通过基座2与容器主体12的内周壁之间的空间而流入第2处理区域P2(第1处理区域P1)的情况也被阻止。其原因在于,弯曲部46从凸状部4向下形成,弯曲部46和基座2之间的间隙以及弯曲部46和容器主体12的内周壁之间的间隙,与凸状部4的顶面44距基座2的高度h大致同样小,因此实质上避免了2个处理区域之间的连通。因此,BTBAS气体被从排气口61排出,O3气体被从排气口62排出,这2种反应气体不会混合。而且,基座2的下方的空间被从吹扫气体供给管72、73供给的N2气体吹扫。因此,BTBAS气体无法通过基座2的下方而流入处理区域P2。
本实施方式的成膜装置200的最佳的工艺参数举例如下。
·基座2的转速:1-500rpm(晶圆W的直径是300mm的情况)
·真空容器1的压力:1067Pa(8Torr)
·晶圆温度:350℃
·BTBAS气体的流量:100sccm
·O3气体的流量:10000sccm
·来自分离气体供给喷嘴41、42的N2气体的流量:20000sccm
·来自分离气体供给管51的N2气体的流量:5000sccm
·基座2的转速:600转(由需要的膜厚所决定)
采用本实施方式的成膜装置200,成膜装置200在被供给BTBAS气体的第1处理区域和被供给O3气体的第2处理区域之间具有包括低的顶面44在内的分离区域D,因此能防止BTBAS气体(O3气体)流入第2处理区域P2(第1处理区域P1)的情况,能防止防止BTBAS气体(O3气体)与O3气体(BTBAS气体)混合的情况。因此,使载置有晶圆W的基座2旋转,使晶圆W通过第1处理区域P1、分离区域D、第2处理区域P2和分离区域D,以此可靠地实施氧化硅膜的分子层成膜。而且,为了能可靠地防止BTBAS气体(O3气体)流入第2处理区域P2(第1处理区域P1)而与O3气体(BTBAS气体)混合的情况,因此分离区域D还包括喷出N2气体的分离气体供给喷嘴41、42。另外,本实施方式的成膜装置200的真空容器1具有带用于喷出N2气体的喷出孔的中心区域C,因此能够防止BTBAS气体(O3气体)通过中心区域C而流入第2处理区域P2(第1处理区域P1)而与O3气体(BTBAS气体)混合的情况。而且,由于BTBAS气体和O3气体不被混合,因此几乎不产生氧化硅向基座2的堆积,因此,能降低微粒的问题。
另外,在本实施方式的成膜装置200中,基座2具有5个载置部24,运行一次就能处理被载置在相对应的5个载置部24上的5张晶圆W,但也可以5个载置部24中的一个载置1张晶圆W,也可以在基座2上只形成一个载置部24。
并且,不限于氧化硅膜的分子层成膜,也能够通过成膜装置200进行氮化硅膜的分子层成膜。作为氮化硅膜的分子层成膜用的氮化气体,能利用氨(NH3)和联氨(N2H2)等。
而且,作为氧化硅膜和氮化硅膜的分子层成膜用的原料气体不限于BTBAS,也能利用二氯硅烷(DCS)、六氯乙硅烷(HCD)、三(二甲氨基)硅烷(3DMAS)、四乙氧基硅烷(TEOS)等。
而且,在本发明的实施方式的成膜装置和成膜方法中,不限于氧化硅膜和氮化硅膜,也能进行采用三甲基铝(TMA:trimethylaluminum)和O3或氧等离子体的氧化铝(Al2O3)的分子层成膜、采用了四(二乙基氨基)锆(TEMAZ)和O3或氧等离子体的氧化锆(ZrO2)的分子层成膜、采用了四-(乙基甲基氨基)-铪(TEMAHf)和O3或氧等离子体的氧化铪(HfO2)的分子层成膜、采用了双(四甲基庚二酮酸)锶(Sr(THD)2)和O3或氧等离子体的氧化锶(SrO)的分子层成膜、采用了(甲基戊二酮酸)双(四甲基庚二酮酸)钛(Ti(MPD)(THD)2)和O3或氧等离子体的氧化钛(TiO)的分子层成膜等。
越靠近基座2的外周缘,产生的离心力越大,因此,例如,BTBAS气体在靠近基座2的外周缘的部分,以大的速度朝向分离区域D流动。因此,在靠近基座2的外周缘的部分,BTBAS气体流入顶面44与基座2之间的间隙的可能性很高。因此,只要将凸状部4的宽度(沿着旋转方向的长度)朝着外周缘逐渐增宽,BTBAS气体就难以进入该处间隙。从该方面出发,在本实施方式中,如上所述那样,优选凸状部4具有扇形的上表面形状。
下面,再次举例说明凸状部4(或顶面44(图11))的尺寸。参照图16的(a)和图16的(b),对于在分离气体供给喷嘴41(42)的两侧形成狭窄的空间的凸状部4,优选其作为与晶圆中心WO通过的路径相对应的圆弧的长度L可以是晶圆W的直径的大约1/10~大约1/1的长度,更优选是晶圆W的直径的大约1/6以上。具体来说,晶圆W具有300mm的直径的情况下,该长度L优选为大约50mm以上。该长度L较短的情况下,为了有效地防止反应气体流入狭窄的空间,顶面44(图11)与基座2之间的狭窄的空间的高度h必须很低。但是,长度L变得过短而高度h变得极低时,基座2有可能碰到顶面44,要么产生微粒而产生晶圆的污染,要么使晶圆损坏。因此,为了避免基座2与顶面44碰撞,需要抑制基座2的振动、或使基座2稳定地旋转的对策。另一方面,使长度L变小而将狭窄的空间的高度h维持得较大的情况下,为了防止反应气体流入顶面44和基座2之间的狭窄的空间,因此必须降低基座2的转速,当然这对制造生产率这一点来说不佳。但从这些考察出发,优选沿着与晶圆中心WO的路径相对应的圆弧的、顶面44的长度L为大约50mm以上。但是,凸状部4或顶面44的尺寸不限于上述尺寸,也可以根据所使用的工艺参数和晶圆尺寸进行调整。而且,狭窄的空间限于具有形成分离气体从分离区域D向处理区域P1(P2)流动那样程度的高度,从上述说明可知,除了所使用的工艺参数和晶圆尺寸之外,狭窄的空间的高度h也可以根据顶面44的面积进行调整。
而且,在上述的实施方式中,分离气体供给喷嘴41(42)被配置在设置于凸状部4上的槽部43,分离气体供给喷嘴41(42)的两侧配置有低的顶面44。但是,在其他实施方式中,替代分离气体供给喷嘴41,也可以如图17所示那样在凸状部4的内部形成沿基座2的径向延伸的流路47,沿着该流路47的长度方向形成多个气体喷出孔40,从这些气体喷出孔40喷出分离气体(N2气体)。
分离区域D的顶面44不限于平坦的面,既可以如图18的(a)所示那样呈凹面状弯曲,也可以如图18的(b)所示那样形成为凸面形状,还可以如图18的(c)所示那样构成为波浪形状。
而且,凸状部4也可以是空心的,可以构成将分离气体导入到其空心内。这种情况下,也可以如图19的(a)~图19的(c)所示那样排列多个气体喷出孔33。
参照图19的(a),多个气体喷出孔33具有各自倾斜的狭缝的形状。这些倾斜狭缝(多个气体喷出孔33)与沿着基座2的径向相邻的狭缝部分地重叠。在图19的(b)中,多个气体喷出孔33分别是圆形。这些圆形的孔(多个气体喷出孔33)作为整体而顺着沿基座2的径向延伸的曲线配置。在图19的(c)中,多个气体喷出孔33分别具有圆弧状的狭缝的形状。这些圆弧状狭缝(多个气体喷出孔33)以在基座2的径向具有规定的间隔地配置。
而且,在本实施方式中,凸状部4具有大致扇形的上表面形状,但在其他实施方式中,也可以具有图20的(a)所示的长方形、或正方形的上表面形状。而且,如图20的(b)所示,凸状部4的上表面也可以整体呈扇形,具有呈凹状弯曲的侧面4Sc。另外,如图20的(c)所示,凸状部4的上表面整体呈扇形,具有呈凸状弯曲的侧面4Sv。而且,还如图20的(d)所示,也可以凸状部4的在基座2(图7)的旋转方向d的上游侧的部分具有凹状的侧面4Sc,凸状部4的在基座2(图7)的旋转方向d的下游侧的部分具有平面状的侧面4Sf。另外,在图20的(a)~图20的(d)中,虚线表示被形成在凸状部4上的槽部43(图10的(a)、图10的(b))。这些情况下,被收容在槽部43的分离气体供给喷嘴41(42)(图8)从真空容器1的中央部、例如从突出部5(图7)起延伸。
用于加热晶圆的加热器单元7也可以替代电阻发热体,而具有加热灯。而且,也可以替代加热器单元7设置在基座2的下方侧,而将加热器单元7设置在基座2的上方侧,也可以在上下两方都设置加热器单元7。
处理区域P1、P2和分离区域D在其他实施方式中也可以如图21所示那样配置。参照图21,供给第2反应气体(例如O3气体)的第2反应气体供给喷嘴32比输送口15更处于基座2的旋转方向上游侧,并被设置在输送口15和分离气体供给喷嘴42之间。即使是这样的配置,从各喷嘴和中心区域C喷出的气体也大概在图21中如箭头所示那样流动,能防止两反应气体的混合。因此,即使是这样的配置,也能实现适当的分子层成膜。
而且,如上所述,也可以将2张扇形金属板以位于分离气体供给喷嘴41(42)的两侧的方式用螺钉安装在顶板11的下表面上,从而构成分离区域D。图22是表示这样的结构的俯视图。这种情况下,为了高效率地发挥分离区域D的分离作用,可以考虑分离气体和反应气体的喷出率,决定凸状部4和分离气体供给喷嘴41(42)之间的距离、凸状部4的尺寸。
在上述的实施方式中,第1处理区域P1和第2处理区域P2相当于具有比分离区域D的顶面44高的顶面45的区域。但是,第1处理区域P1和第2处理区域P2的至少一个区域也可以具有在反应气体供给喷嘴31(32)的两侧与基座2相对且比顶面45低的其他顶面,用于防止气体流入到该顶面和基座2之间的间隙。该顶面比顶面45低,也可以与分离区域D的顶面44相同程度地低。图23表示这样的结构的一个例子。如图所示,扇状的凸状部30配置在被供给O3气体的第2处理区域P2,反应气体供给喷嘴32被配置在形成于凸状部30的槽部(未图示)。换句话说,该第2处理区域P2的气体喷嘴被用于供给反应气体,该第2处理区域P2与分离区域D同样地构成。另外,凸状部30也可以与图19的(a)~图19的(c)所示的一个例子的空心的凸状部同样地构成。
而且,为了在分离气体供给喷嘴41(42)的两侧形成狭窄的空间,限于设置低的顶面(第1顶面)44,而在其他实施方式中,上述的顶面、也就是说比顶面45低且与分离区域D的顶面44同样低的顶面,也可以设置在反应气体供给喷嘴31、32这两者上并一直延伸到顶面44。换句话说,也可以替代凸状部4,将其他凸状部400安装在顶板11的下表面。参照图24,凸状部400大致有圆盘状的形状,与基座2的上表面的大致整个面相对,具有分别收容有气体喷嘴31、32、41、42并沿径向延伸的4个狭缝400a,而且,在凸状部400之下存在与基座2相对的狭窄的空间。该狭窄的空间的高度也可以是与上述的高度h相同的程度。使用凸状部400时,从反应气体供给喷嘴31(32)喷出的反应气体在凸状部400之下(或在狭窄的空间中)扩散到反应气体供给喷嘴31(32)的两侧,从分离气体供给喷嘴41(42)喷出的分离气体在凸状部400之下(或在狭窄的空间中)扩散到分离气体供给喷嘴41(42)的两侧。该反应气体和分离气体在狭窄的空间合流,通过排气口61(62)而被排出。在这种情况下,从反应气体供给喷嘴31喷出的反应气体也不会与从反应气体供给喷嘴32喷出的反应气体混合,能实现适当的分子层成膜。
另外,也可以通过将图19的(a)~图19的(c)中任一个所示的空心的凸状部4组合而构成凸状部400,不用气体喷嘴31、32、33,34和狭缝400a,将反应气体和分离气体从对应的空心凸状部4的喷出孔33分别喷出。
而且,优选凸状部400例如由石英制造。这样一来,能透过凸状部400,利用基板位置检测装置101检测晶圆W的位置。
在上述实施方式中,使基座2旋转的转轴22位于真空容器1的中央部。而且,为了防止反应气体通过中央部而混合,使芯部21和顶板11之间的空间52被分离气体吹扫。但是,真空容器1在其他实施方式中也可以如图25所示那样构成。参照图25,容器主体12的底部14具有中央开口,在中央开口气密地安装有收容壳体80。而且,顶板11具有中央凹部80a。支柱81被载置在收容壳体80的底面上,支柱81的上端部一直到达中央凹部80a的底面。支柱81用于防止从第1反应气体供给喷嘴31喷出的第1反应气体(BTBAS)和从第2反应气体供给喷嘴32喷出的第2反应气体(O3)通过真空容器1的中央部而互相混合。
而且,在顶板11上,例如用石英玻璃制造的观察口201利用O形密封圈等未图示的密封构件被气密地设置在真空容器1上。基板位置检测装置101以观察口201与窗102a相对的方式能够装卸地安装在顶板11的上表面上。基板位置检测装置101的构成如上所述。通过采用基板位置检测装置101实施本发明的实施方式的上述基板位置检测方法,能够检测被载置在成膜装置200内的基座2(后述)上的晶圆W(图7)的位置。
而且,旋转套筒82同轴地围着支柱81设置。旋转套筒82由轴承86、88和轴承87支承,该轴承86、88被安装在支柱81的外表面上,轴承87被安装在收容壳体80的内侧面上。并且,旋转套筒82的外表面安装有齿轮部85。而且,环状的基座2的内周面被安装在旋转套筒82的外表面上。驱动部83被收容在收容壳体80内,在从驱动部83延伸的轴上安装有齿轮部84。齿轮部84与齿轮部85啮合。通过这样的构成,旋转套筒82以及基座2在驱动部83的驱动下旋转。
吹扫气体供给管74与收容壳体80的底部连接,向收容壳体80供给吹扫气体。由此,为了防止反应气体流入收容壳体80内,将收容壳体80的内部空间维持成比真空容器1的内部空间高的压力。因此,在收容壳体80内不引起成膜,能降低维护的频率。而且,吹扫气体供给管75分别与从真空容器1的上方外表面延伸到凹部80a的内壁的导管75a连接,朝着旋转套筒82的上端部供给吹扫气体。由于该吹扫气体,BTBAS气体和O3气体无法通过凹部80a的内壁与旋转套筒82的外表面之间的空间而混合。图25示出了2个吹扫气体供给管75和导管75a,但供给管75和导管75a的数量也可以被决定为,能可靠地防止BTBAS气体和O3气体的混合发生在凹部80a的内壁和旋转套筒82的外表面之间的空间附近。
在图25的实施方式中,凹部80a的侧面与旋转套筒82的上端部之间的空间相当于喷出分离气体的喷出孔,并且,由分离气体喷出孔、旋转套筒82和支柱81构成位于真空容器1的中心部的中心区域。
在本发明的实施方式的成膜装置200中,也可以不限于两种反应气体,能够将3种以上的反应气体按顺序供给到基板上。在这种情况下,例如以第1反应气体供给喷嘴、分离气体供给喷嘴、第2反应气体供给喷嘴、分离气体供给喷嘴、第3反应气体供给喷嘴和分离气体供给喷嘴这样的顺序在真空容器1的周向上配置各气体喷嘴,将包含各分离气体供给喷嘴的分离区域如上所述的实施方式那样构成即可。
采用以上说明了的、本发明的实施方式的成膜装置200,具有上述本发明的实施方式的基板位置检测装置,因此检测误差不会被降低,就能检测晶圆W的位置。
本发明的实施方式的成膜装置能组装到基板处理装置中,图26示意性地表示其一个例子。基板处理装置包括:设置有输送臂103的大气输送室202;能在真空和大气压之间切换气氛的加载互锁真空室(准备室)105;设置有2个输送臂107a、107b的输送室206;本发明的实施方式的成膜装置208、209。而且,该处理装置例如包括载置有FOUP等晶圆盒101的盒工作台(未图示)。晶圆盒101被搬运到盒工作台其中之一上,与盒工作台和大气输送室202之间的搬入搬出部连接。接着,晶圆盒(FOUP)101的盖被开闭机构(未图示)打开,输送臂103从晶圆盒101取出晶圆。接着,晶圆被输送到加载互锁真空室204(105)。加载互锁真空室204(105)被排气之后,加载互锁真空室204(105)内的晶圆利用输送臂107a(107b)通过真空输送室206,被输送到成膜装置208、209。在成膜装置208、209中,用上述的方法在晶圆上堆积膜。基板处理装置具有主要能同时处理5张晶圆的2个成膜装置208、209,因此能以高的生产率进行分子层成膜。
以上,参照几个实施方式说明了本发明,但本发明不限于公开的实施方式,参照所附的权利要求书,能进行各种变形和变更。
例如,本发明的实施方式的基板位置检测装置和采用基板位置检测装置的基板位置检测方法也可以变形为用于调整在各种半导体制造装置中载置有晶圆的基座的原点位置(初始位置)。下面,参照图27~图29说明原点位置的调整。
图27是放大表示图1的成膜装置200的基座旋转机构的概略图。如图所示,配置有本发明实施方式所述的基板位置检测装置101(图1)的成膜装置200包括:与基座2的背面中央部连接的转轴22;与转轴22连接并经由转轴22使基座2旋转的驱动部23;将转轴22和驱动部23相对于容器主体12密封的壳体20。而且,转轴22和容器主体12之间配置有利用了磁性流体的密封构件22a,由此,壳体20内的气氛从容器主体12内的气氛分离。在壳体20的内壁面上安装有作为定子的光电传感器P。光电传感器P具有日文コ字状的形状,包括上片部P1、下片部P2、以及将上片部P1和下片部P2接合的中间部P3,在上片部P1的下表面上设有向下发光的发光元件PL,在下片部P2的上表面上设有接受从发光元件发出的光的受光元件PD。另一方面,作为转子的遮光销(遮光件)LB安装在转轴22的外周面上。遮光销LB的安装高度被决定为,在遮光销LB随着转轴22的旋转而旋转时,遮光销LB在光电传感器P的上片部P1和下片部P2之间通过。由此,遮光销LB在通过上片部P1和下片部P2之间时,遮断从发光元件PL向受光元件PD发出的光。光被遮断时,来自光电传感器P的输出信号就变化,因此从该变化能够把握遮光销LB通过了光电传感器P。即,通过使遮光销LB的安装位置与基座2的规定的位置相关联,根据来自光电传感器P的输出信号的变化,能够把握基座2的规定的位置。具体来说,优选将遮光销LB的安装位置(沿着转轴22的外周面的周向的位置)与例如基座2的位置检测用标记2a中的任一个对齐。这样一来,能把握在遮光销LB位于光电传感器P的上片部P1和下片部P2之间时的位置检测用标记2a的位置。而且,也可以将与基座2的位置检测用标记2a分别对应的5个遮光销LB安装在转轴22上。
如图28所示,通过这样的构成和上述基板位置检测装置101(图1),能调整基座2的原点位置。首先,在步骤S21中,基座2的一个载置部24上载置有晶圆W,在步骤S22中,计数器m被设定为零。接着,基座2被旋转,使得晶圆W的边缘区域进入到基板位置检测装置101的观察视场。之后,拍摄包含晶圆W的边缘在内的区域,在控制部104a(图1)中判断位置检测用标记2a是否处于允许范围内(步骤S221)。具体来说,位置检测用标记2a虽从能适当地进行图2的步骤S21中的“推断载置部24的中心位置”的适当位置脱离,但能判断该位置检测用标记2a是否处于通过调整而能向合适的位置移动的范围(允许范围)。该允许范围例如既可以设定为基板位置检测装置101的观察视场的整个区域(但是,除了合适位置之外),也可以设定为距合适位置规定距离的范围。
位置检测用标记2a未处于允许范围的情况(步骤S221的“NO”)下,从基板位置检测装置101的控制部104a向成膜装置的控制部发出指令信号,由此,基座2开始旋转,利用光电传感器P、遮光销LB,基座2停止而使位置检测用标记2a进入允许范围内(步骤S222)。即,利用光电传感器P、遮光销LB进行了粗定位。接着,计数器m增加1(步骤S223),判断计数器m是否为4以上(步骤S224),在计数器m为3以下的情况下,该程序返回到步骤220(步骤S223的“NO”)。
接着,在步骤S220中,包括晶圆W的边缘在内的区域被拍摄,再次判断位置检测用标记2a是否处于允许范围内(步骤S221)。在判断为位置检测用标记2a处于允许范围内的情况下(步骤S221的“YES”),进入到步骤S225,进行位置调整,使得位置检测用标记2a从允许范围内到达合适位置。该位置调整例如如图29所示那样进行。图29是示意性地表示在步骤S225中基板位置检测装置101所拍摄的图像的图,在步骤S221中被判断为处于允许范围内的位置检测用标记2a用附图标记2a2表示。为了使该位置检测用标记2a2移动到合适位置(原点)2a1,首先,检测出位置检测用标记2a2的在允许范围内的位置(例如座标)。基于该检测结果,计算出将基座2的中心C与预先存储的合适位置2a1连线、和位置检测用标记2a2之间的距离X(dots)。由位置检测用标记2a2的位置、基座2的中心C、合适位置2a1决定的角度为θ时,以下式(6)关系成立。
(R×A)×sinθ=X...式(6)
其中,R:基座2的中心C与位置检测用标记2a之间的已知的距离(mm);
A:每单位长度的点数〔dots/mm〕;
由此,角度θ由下式(7)确定。
θ=arcsin(X/(R×A))...式(7)
通过使基座2旋转上述求出的角度θ,能够将位置检测用标记2a2配置到合适位置2a1。例如,旋转基座2的驱动部23由脉冲电动机构成,若以9万脉冲,使基座2转一圈的话,将θ×250(脉冲)的脉冲数供给到脉冲电动机,从而位置检测用标记2a2被配置在合适位置2a1
之后,进入到图2所示的流程图的步骤S23,下面按照图2的流程图进行晶圆W的位置的检测。
另一方面,在步骤S221中,判断为位置检测用标记2a未处于允许范围内的情况下(步骤S221的“NO”),重复进行步骤S222~S224,再次返回到步骤S220。然后,包括晶圆W的边缘在内的区域被拍摄,判断位置检测用标记2a是否处于允许范围内。在判断为位置检测用标记2a处于允许范围内时(步骤S221的“YES”),就在步骤225中进行上述的位置调整,在判断为位置检测用标记2a不处于允许范围内时(步骤S221的“NO”),就重复进行步骤S222~S224。
在此,在步骤S223中,计数器m是4的情况下,在步骤S224中被判断为“YES”,在步骤S27中,发出警报后,从控制部104a对成膜装置200发出要求动作中止的信号,由此,该成膜装置200成为待机状态。即,在进行过3次利用光电传感器P、遮光销LB的粗定位,即便如此,位置检测用标记2a还没有进入允许范围内的情况下,成膜装置200成为待机状态。这种情况下,由成膜装置200的操作者进行按照规定的顺序的复位作业。
采用该变形例的基板位置检测装置101和基板位置检测方法,为了检测基板位置,仅通过在成膜装置200等半导体制造装置上设置简单的光电传感器P和遮光销(遮光件)LB,就能够利用基板位置检测装置101和基板位置检测方法,简便地调整载置有晶圆的基座2的原点位置。作为不同的方法,也考虑到如下方法:将基座原点位置信息预先存储在基板位置检测装置的控制部或半导体制造装置的控制部中,基于该信息,检测原点位置并进行调整,但用于位置检测和位置调整的算法有可能变复杂。相对于此,变形例的基板位置检测装置101和基板位置检测方法存在如下优点:通过稍微变更用于基板位置检测的基板位置检测装置101和基板位置检测方法,就能进行基座2的原点位置检测。
而且,一般来说,即使仅通过光电传感器P和遮光销LB,也能调整基座2的原点位置,但被设置在本发明的实施方式的成膜装置200中的基座2具有能够载置5张12英寸晶圆那样程度的直径,因此即使通过被安装在小直径的转轴22上的遮光销LB以及与该遮光销LB相对应地配置的光电传感器P来进行位置调整,也无法忽视基座2的外周部的误差。为了解决该问题,想到了将遮光销LB安装在基座2的外周部即可,但是基座2温度较高,因此无法以利用遮光销LB遮断光路的方式将光电传感器P设置在基座2内。但是,能采用上述光电传感器P、遮光销LB和基板位置检测装置101,将光电传感器P配置在适当的环境的基础下,能准确地检测基座2的位置。
而且,图28所示的变形例的基板位置检测方法还能够进一步变形,以在将晶圆搬入到容器主体12内而载置在基座2的载置部24上时,即、以载置部24排列在输送口15的方式来定位基座2时采用。换句话说,将图28的流程图中的步骤S210~S224(S27)放在步骤S21之前进行,在步骤S220中拍摄基座2的载置部24的边缘和位置检测用标记2a即可。(在该时刻没有载置晶圆W)
另外,采用机械开关来替代光电传感器P,并在安装在转轴22上的销旋转时,使该开关连通也没有关系。
而且,作为本发明的实施方式的基板位置检测装置101的其他变形例存在如下例子。在上述基板位置检测装置101中,光源108被配置在板106和窗102a之间,但如图6所示,也可以在板106的上方的壳体102的内侧壁上安装光源109,从光源109将光照射到板106的上表面(与照相机104相面对的面)。光源109与光源108同样包括白色LED。即使在这种情况,由于板106具有光散射性,因此照射光透过板106内时被沿各种角度散射,有时也在板的两面之间产生多重反射,板106的整个面以大致相同的光强度发光。因此,能发挥本发明的实施方式的基板位置检测装置的效果。另外,如图6所示,也可不仅设有光源109而且还设有板106和窗102a之间的光源108。如后所述,进行基座2的位置检测时,也可以由该光源108直接将光照射到晶圆W上。
在上述实施方式中,板106由涂敷了白色颜料的乳白色的丙烯板制造,但不限于此,只要是利用板106使晶圆W看起来均匀地发亮,就可以由各种材料制造。例如,板106也可以由包含二氧化硅粒子和硅酮聚合物粒子等光散射粒子的树脂制造,也可以由表面粗糙化的树脂板或玻璃板制造。当然,也可以由透明的树脂板和玻璃板制造板106,可以使一面或两面粗糙化。粗糙化例如通过采用喷砂、磨石等机械研磨,或通过蚀刻进行。而且,也可以由表面形成有微透镜阵列的树脂板和玻璃板形成板106。另外,在上述的实施方式中,板106由涂敷了白色颜料的乳白色的丙烯板制造,只要晶圆W被板106间接地映照,涂敷在丙烯板上颜料的颜色不限于白色。
而且,板106不一定是平板的,只要具有使照相机104对晶圆W及其周边进行拍摄的开口部106a,也可以是圆顶状、圆锥台状或棱锥台状(不论上下朝向)。
而且,对板106照射光的光源也可以从板106的侧面照射光。这种情况下,从使板106大致均匀地发光的方面出发,在板106的任一个表面上形成有微透镜阵列时较佳。
并且,光源也可以与板106一体地设置。例如,也可以在具有光散射性并在中央具有开口部106a的一个构件与另一个构件之间,以发光面面对该一个构件的方式配置多个白色LED(芯片),进行配线来对各LED(芯片)供给电力,将两个构件贴合来制造板106。采用这样的构成,也能通过对各白色LED(芯片)供给电力,使具有光散射性的一个构件大致均匀地发光。这种情况下,具有光散射性的一个构件相当于上述板106。而且,在该例子中,另一个构件既可以具有光散射性也可以不具有光散射性。并且,也可以另一个构件的与一个构件相对的面具有光反射性。
在上述基板位置检测方法的步骤S22中,由光源108照射板106的下表面来对晶圆W的边缘及其周边区域进行拍摄,检测出基座2的位置检测用标记2a,但在检测位置检测用标记2a的情况下,也可以将光源108朝向晶圆W而直接将光照射到晶圆W的边缘及其周边区域。这样一来,能更高精度地检测出位置检测用标记2a。另外,将光照射到板106的上表面或侧面的情况下,或者,光源与板106被一体化的情况下,优选在检测位置检测用标记2a时,从设置在板106和窗102a之间的光源108(参照图6)直接将光照射到晶圆W的边缘及其周边。
在本发明的实施方式的基板位置检测方法中,基于形成在基座2上的位置检测用标记2a推断出基座2的载置部24的中心位置C,但在其他实施方式中,也可以从载置部24的边缘的形状推断中心位置C。而且,也可以基于晶圆W的边缘与载置部24的边缘之间的间隔,判断晶圆W是否被载置在规定的位置。
另外,晶圆W的载置部24不限于凹部,也可以由以规定的角度间隔配置在基座2上并可压靠晶圆W的端部的引导构件形成。例如,晶圆W的载置部24也可以具有静电卡盘。即使这种情况下,例如也能够通过检测位置检测用标记2a,推断出晶圆W的中心位置WO应该位于的位置(载置部24的中心位置C),通过检测晶圆W的边缘,推断所得到的晶圆W的实际的中心位置WO,比较双方,从而能判断晶圆W是否载置在规定的位置。
而且,在上述的实施方式中,使用了CCD照相机作为照相机104,但不限于此,也可以是CMOS照相机。而且,照相机104也可以是摄像机(video camera)。
光源108也可以不是白色LED 108a,而是卤素灯、氙气灯等。而且,光源108的发光颜色不限于白色,只要照相机对来自光源108的光具有感光度,可以是任何颜色。例如,除了白色光之外,优选黄色、橙色或绿色这样的明亮度比较高的颜色的光。
本发明的实施方式的基板位置检测装置不一定被配置在收容有作为位置检测的对象的晶圆W的半导体制造装置的上方,当然也可以配置在能对该制造装置内的晶圆W的边缘及其周边进行拍摄的位置。而且,壳体102的开口部和覆盖该壳体102的开口部的窗102a不限于壳体102的下部,也可以根据与收容有作为位置检测的对象的晶圆W的装置之间的关系来设置在壳体102的其他部位,由照相机104透过窗102a对晶圆W的边缘及其周边进行拍摄。并且,壳体102未必是必需的,也可以将照相机104、板106和光源108安装在半导体制造装置上,用以能对晶圆W的边缘及其周边进行拍摄。
而且,本发明的实施方式的基板位置检测装置不仅能够适用于成膜装置,而且也能够适用于以蚀刻装置和热处理装置为首的各种半导体制造装置。而且,采用本发明的实施方式的基板位置检测装置和基板位置检测方法,不限于裸晶圆,也能够适用于对通过各种工艺形成有电路的晶圆W的位置进行检测。并且,半导体制造装置的基座不是必须由碳等制造,也可以由石英和金属等制造。在由石英和金属等材料制造的情况下,被载置在基座上的晶圆W也被板106映照而看起来均匀地发亮,并通过晶圆与基座的表面的差异,能维持双方之间的对比度,因此能够精度良好地进行晶圆位置的检测。
并且,本发明的实施方式的基板位置检测装置在使用于制造平板显示器(FPD)的制造装置中,也能够用于检测FPD基板的位置。
此外,也说明了各种变形例,但是将这些变形例进行各种组合而适用于上述实施方式,对本领域技术人员来说是显而易见的。
关联申请的参照
本申请基于2008年11月19日和2009年5月29日分别向日本专利局申请的日本专利申请2008-295641号和2009-130532号要求优先权,在此引用它们的全体内容。

Claims (18)

1.一种基板位置检测装置,其特征在于,包括:
摄像部,用于对作为位置检测对象的基板进行拍摄;
板构件,其具有光散射性,被配置在上述摄像部和上述基板之间,具有用于确保上述摄像部相对于上述基板的视场的第1开口部;
第1照明部,用于将光照射到上述板构件上;
以及处理部,其用于从利用上述摄像部透过上述第1开口部所拍摄的图像中求出上述基板的位置。
2.根据权利要求1所述的基板位置检测装置,其中,
上述第1照明部将光照射到上述板构件的与上述基板相面对的第1面上。
3.根据权利要求1所述的基板位置检测装置,其中,
上述第1照明部将光照射到上述板构件的与上述摄像部相面对的第2面上。
4.根据权利要求3所述的基板位置检测装置,其中,
上述基板位置检测装置还具有将光照射到上述基板上的第2照明部。
5.根据权利要求2所述的基板位置检测装置,其中,
能改变将光照射到上述第1面上的上述第1照明部的光放射部的朝向,以使光照射到上述基板上。
6.根据权利要求1所述的基板位置检测装置,其中,
上述板构件由包含光散射性粒子的树脂形成。
7.根据权利要求1所述的基板位置检测装置,其中,
上述板构件由涂敷了颜料的透明树脂板形成。
8.根据权利要求1所述的基板位置检测装置,其中,
上述板构件的上述第1面和上述第2面中的任一个面或两个面被粗糙化。
9.根据权利要求1所述的基板位置检测装置,其中,
上述基板位置检测装置还包括收容上述摄像部的壳体,该壳体包括:
面对作为位置检测对象的上述基板的开口;
将气体导入的导入口;
以及对从上述导入口所导入的气体进行排气的排气口,
上述板构件在上述壳体内被配置在上述开口和上述摄像部之间,
上述板构件还包括上述气体能够通过的第2开口部。
10.根据权利要求1所述的基板位置检测装置,其中,
上述基板位置检测装置还包括检测部,该检测部被设置在旋转驱动机构上,用于检测设置在基座上的位置检测用标记的位置,该旋转驱动机构用于使载置有作为位置检测对象的上述基板的上述基座旋转,
上述处理部从上述图像检测出上述位置检测用标记是否处于规定的范围内。
11.根据权利要求10所述的基板位置检测装置,其中,
上述检测部包括定子和转子,该定子被设置在上述旋转驱动机构上,该转子被设置在上述旋转驱动机构的旋转部上,并与上述定子协作。
12.一种基板位置检测方法,其包括以下工序:
将作为位置检测对象的基板载置在基座的载置部上的工序;
将光照射到具有光散射性的板构件的工序,该板构件具有开口部;
透过上述开口部对包括上述基板和上述载置部在内的区域进行拍摄的工序,上述区域被上述光所照射的上述板构件映照;
基于上述区域的图像推断上述载置部的位置的工序;
基于上述区域的图像推断上述基板的位置的工序;
以及从上述载置部的位置和上述基板的位置判断上述基板是否处于规定的位置的工序。
13.根据权利要求12所述的基板位置检测方法,其中,
上述推断上述载置部的位置的工序包括检测被设置在上述基座上的位置检测用标记的工序。
14.根据权利要求12所述的基板位置检测方法,其中,
推断上述基板的位置的工序包括识别被载置在上述载置部上的上述基板的端部的工序。
15.根据权利要求13所述的基板位置检测方法,其中,
推断上述载置部的位置的工序包括以下工序:
从上述图像检测出上述位置检测用标记是否处于上述图像内的规定的范围内的工序;
在上述检测的工序中,在判断为上述位置检测用标记没有处于规定的范围内的情况下,基于被设置在使上述基座旋转的旋转驱动机构上的检测部的检测结果调整上述基座的位置而使得上述位置检测标记被收纳在上述规定的范围内的工序;
以及检测被收纳在上述规定的范围内的上述位置检测标记的位置,基于该检测结果调整上述基座的位置,使得上述位置检测标记位于规定的位置的工序。
16.根据权利要求15所述的基板位置检测方法,其中,
上述检测部包括定子和转子,该定子被设置在上述旋转驱动机构上,该转子被设置在上述旋转驱动机构的旋转部上,并与上述定子协作。
17.一种成膜装置,其通过执行在容器内将互相反应的至少两种反应气体按顺序供给到基板上的循环而将反应生成物的层生成在该基板上,从而对膜进行堆积,该成膜装置的特征在于,包括:
基座,能够旋转地设置在上述容器内;
载置部,被设置在上述基座的一个面上,用于载置上述基板;
权利要求1所述的基板位置检测装置,用于对被载置在上述载置部上的上述基板的位置进行检测;
第1反应气体供给部,构成为对上述一个面供给第1反应气体;
第2反应气体供给部,构成为沿着上述基座的旋转方向远离上述第1反应气体供给部,用于对上述一个面供给第2反应气体;
分离区域,其沿着上述旋转方向位于被供给上述第1反应气体的第1处理区域和被供给上述第2反应气体第2处理区域之间,用于分离上述第1处理区域和上述第2处理区域;
中央区域,为了分离上述第1处理区域和上述第2处理区域,该中央区域位于上述容器的中央,具有沿着上述一个面而喷出第1分离气体的喷出孔;
以及排气口,其是为了对上述容器进行排气而设置在上述容器上;
上述分离区域包括:
分离气体供给部,用于供给第2分离气体;
以及顶面,用于相对于上述基座的上述一个面而形成使上述第2分离气体能够相对于上述旋转方向从上述分离区域流向上述处理区域侧的狭窄的空间。
18.一种成膜方法,其采用权利要求17所述的成膜装置在基板上堆积膜,其包括以下工序:
将上述基板载置到载置部上的工序,该载置部被设置在能够旋转地设置于上述容器内的基座的一个面上,用于载置上述基板;
将光照射到具有光散射性的板构件上的工序,该板构件具有开口部;
透过上述开口部对包括上述基板和上述载置部在内的区域进行拍摄的工序,该区域被上述光所照射的上述板构件所映照;
基于上述区域的图像推断上述载置部的位置的工序;
基于上述区域的图像推断上述基板的位置的工序;
从上述载置部的位置和上述基板的位置判断上述基板是否处于规定的位置的工序;
在判断为上述基板处于规定的位置的情况下,使载置有上述基板的上述基座旋转的工序;
从第1反应气体供给部向上述基座的上述一个面供给第1反应气体的工序;
从沿着上述基座的旋转方向远离上述第1反应气体供给部的第2反应气体供给部向上述基座的上述一个面供给第2反应气体的工序;
从位于第1处理区域与第2处理区域之间的分离区域所设置的分离气体供给部供给第1分离气体,而在形成于上述分离区域的顶面和上述基座之间的狭窄的空间中相对于上述旋转方向使上述第1分离气体从上述分离区域流向上述处理区域侧的工序,上述第1处理区域被自上述第1反应气体供给部供给上述第1反应气体,上述第2处理区域被自上述第2反应气体供给部供给上述第2反应气体;
从形成在位于上述容器的中央部的中央部区域的喷出孔沿着上述一个面供给第2分离气体的工序;
以及对上述容器进行排气的工序。
CN200910223514A 2008-11-19 2009-11-19 基板位置检测装置、基板位置检测方法、成膜装置、成膜方法 Pending CN101740447A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008-295641 2008-11-19
JP2008295641 2008-11-19
JP2009-130532 2009-05-29
JP2009130532A JP2010153769A (ja) 2008-11-19 2009-05-29 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体

Publications (1)

Publication Number Publication Date
CN101740447A true CN101740447A (zh) 2010-06-16

Family

ID=42172247

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910223514A Pending CN101740447A (zh) 2008-11-19 2009-11-19 基板位置检测装置、基板位置检测方法、成膜装置、成膜方法

Country Status (5)

Country Link
US (1) US20100124610A1 (zh)
JP (1) JP2010153769A (zh)
KR (1) KR20100056393A (zh)
CN (1) CN101740447A (zh)
TW (1) TW201036081A (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102222605A (zh) * 2011-06-08 2011-10-19 致茂电子(苏州)有限公司 一种具有破片检测的晶圆输送设备
CN102840831A (zh) * 2011-06-24 2012-12-26 上海瑞伯德智能系统科技有限公司 角码宽度视觉测量系统
CN103824743A (zh) * 2012-11-16 2014-05-28 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
CN103887210A (zh) * 2012-12-21 2014-06-25 东京毅力科创株式会社 基板位置检测装置、基板处理装置和成膜装置
CN103981504A (zh) * 2014-06-03 2014-08-13 上海先进半导体制造股份有限公司 物理气相淀积机台及其冷却腔体
CN104183522A (zh) * 2013-05-27 2014-12-03 东京毅力科创株式会社 基板脱离检测装置和方法、以及使用该装置的基板处理装置和使用该方法的基板处理方法
CN107665831A (zh) * 2016-07-29 2018-02-06 台湾积体电路制造股份有限公司 用于半导体器件制造工具器具的测量的系统及其方法
CN108977790A (zh) * 2017-05-31 2018-12-11 日立造船株式会社 监视装置、监视方法和计算机可读存储介质
CN108987328A (zh) * 2018-05-31 2018-12-11 华灿光电(浙江)有限公司 外延生长的石墨基座及利用石墨基座监测外延生长的方法
CN109016290A (zh) * 2018-08-01 2018-12-18 芜湖市崇兴乐塑胶有限公司 一种用于塑料产品生产用风冷定型干燥装置
CN109898060A (zh) * 2017-12-11 2019-06-18 株式会社爱发科 蒸镀装置
CN110767591A (zh) * 2015-11-05 2020-02-07 英飞凌科技股份有限公司 用于晶片处理的方法和装置
CN110880462A (zh) * 2018-09-06 2020-03-13 东京毅力科创株式会社 基片状态判断装置及方法、基片处理装置和模型生成装置
CN112342519A (zh) * 2019-08-09 2021-02-09 佳能特机株式会社 成膜系统、成膜系统的异常部位判别方法及计算机可读取的存储介质
CN114318281A (zh) * 2021-12-30 2022-04-12 布劳恩惰性气体系统(上海)有限公司 加热样品台及具有其的真空镀膜系统
WO2022193345A1 (zh) * 2021-03-19 2022-09-22 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统

Families Citing this family (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
WO2011148629A1 (ja) * 2010-05-27 2011-12-01 パナソニック株式会社 プラズマ処理装置
CN102939648B (zh) * 2010-06-01 2015-05-27 松下电器产业株式会社 等离子处理装置以及等离子处理方法
KR20120016931A (ko) * 2010-08-17 2012-02-27 (주)큐엠씨 기판가공장치 및 기판가공방법
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
TWI499725B (zh) * 2010-12-30 2015-09-11 Au Optronics Corp 潔淨風扇節能系統
CN103502508B (zh) * 2010-12-30 2016-04-27 维易科仪器公司 使用承载器扩展的晶圆加工
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20140004229A (ko) * 2011-06-08 2014-01-10 무라다기카이가부시끼가이샤 워크 처리 시스템
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
CN103797420A (zh) * 2011-09-12 2014-05-14 迈普尔平版印刷Ip有限公司 具有基底板的真空腔室
US8982362B2 (en) 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5661022B2 (ja) * 2011-11-21 2015-01-28 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
JP5846686B2 (ja) * 2011-11-22 2016-01-20 株式会社ミツトヨ 光電式エンコーダのスケールの製造方法
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101349740B1 (ko) 2011-12-29 2014-01-13 주식회사 인지디스플레이 표시장치용 샤시를 위한 부분 도색 장치
US9151597B2 (en) * 2012-02-13 2015-10-06 First Solar, Inc. In situ substrate detection for a processing system using infrared detection
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101377746B1 (ko) * 2012-10-18 2014-03-27 조영진 잉곳 성장 챔버의 뷰포트 뷰어장치
WO2014069291A1 (ja) * 2012-10-29 2014-05-08 ローツェ株式会社 半導体基板の位置検出装置及び位置検出方法
US20150270155A1 (en) * 2012-11-21 2015-09-24 Ev Group Inc. Accommodating device for accommodation and mounting of a wafer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP5535347B1 (ja) * 2013-02-04 2014-07-02 エピクルー株式会社 撮像装置、半導体製造装置および半導体製造方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR20150130524A (ko) 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
KR102164707B1 (ko) * 2013-08-14 2020-10-13 삼성디스플레이 주식회사 원자층 증착 방법 및 원자층 증착 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9796045B2 (en) * 2013-12-19 2017-10-24 Sunpower Corporation Wafer alignment with restricted visual access
CN104752253B (zh) * 2013-12-31 2018-03-09 北京北方华创微电子装备有限公司 晶片检测方法及设备
CN103792715B (zh) * 2014-01-27 2017-01-25 北京京东方显示技术有限公司 一种显示基板制造方法、系统及装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN103968759A (zh) * 2014-05-07 2014-08-06 京东方科技集团股份有限公司 一种检测装置和方法
JP6246674B2 (ja) * 2014-07-24 2017-12-13 キヤノンマシナリー株式会社 位置確認装置及びダイボンダ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US9958673B2 (en) * 2014-07-29 2018-05-01 Nanometrics Incorporated Protected lens cover plate for an optical metrology device
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6280487B2 (ja) * 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9796086B2 (en) * 2015-05-01 2017-10-24 Kawasaki Jukogyo Kabushiki Kaisha Method of teaching robot and robot
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6547650B2 (ja) * 2016-02-05 2019-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6882908B2 (ja) * 2017-02-17 2021-06-02 株式会社Screenホールディングス 基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7117841B2 (ja) * 2017-12-12 2022-08-15 芝浦メカトロニクス株式会社 ワーク検出装置、成膜装置及びワーク検出方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190382882A1 (en) * 2018-06-13 2019-12-19 X Development Llc Vacuum deposition processing of multiple substrates
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10698025B2 (en) * 2018-07-20 2020-06-30 Formfactor Beaverton, Inc. Probe systems and methods that utilize a flow-regulating structure for improved collection of an optical image of a device under test
TWI794530B (zh) 2018-07-20 2023-03-01 美商應用材料股份有限公司 基板定位設備及方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113710589B (zh) * 2019-04-26 2023-01-10 株式会社资生堂 液状体排出装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7497262B2 (ja) 2020-09-24 2024-06-10 株式会社Screenホールディングス 基板処理装置および基板位置調整方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TWI759913B (zh) * 2020-10-16 2022-04-01 天虹科技股份有限公司 原子層沉積薄膜厚度的檢測系統及檢測方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN117916669A (zh) * 2021-09-03 2024-04-19 Asml荷兰有限公司 用于图像检查装置的样品边缘检测和样品定位的方法和系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116313873B (zh) * 2023-05-11 2023-07-25 深圳市森美协尔科技有限公司 一种全自动晶圆测试设备及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPH06101513B2 (ja) * 1987-11-16 1994-12-12 日本電気株式会社 半導体基板処理装置
JPH0513537A (ja) * 1991-07-01 1993-01-22 Fujitsu Ltd 画像処理用照明装置
JPH10275850A (ja) * 1997-03-28 1998-10-13 Nikon Corp 露光装置
JP2888336B1 (ja) * 1998-02-12 1999-05-10 直江津電子工業株式会社 研磨ウエハの自動回収方法
US6471464B1 (en) * 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4756766B2 (ja) * 2001-04-23 2011-08-24 不二越機械工業株式会社 ワークの供給装置
JP2003191013A (ja) * 2001-12-25 2003-07-08 Daido Steel Co Ltd 被計数材の照明装置
JP4068588B2 (ja) * 2003-03-31 2008-03-26 シーシーエス株式会社 光照射装置
GB0417936D0 (en) * 2004-08-12 2004-09-15 Rolls Royce Plc Method and apparatus for recycling inert gas
JP4558417B2 (ja) * 2004-09-01 2010-10-06 有限会社シマテック 照明制御装置
JP4396461B2 (ja) * 2004-09-15 2010-01-13 信越半導体株式会社 板状体の観察方法、観察装置、及び観察用補助治具
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP4873683B2 (ja) * 2005-04-19 2012-02-08 チェイル インダストリーズ インコーポレイテッド 面光源装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4842090B2 (ja) * 2006-10-26 2011-12-21 有限会社シマテック Led照明装置
ATE533043T1 (de) * 2007-08-31 2011-11-15 Icos Vision Systems Nv Vorrichtung und verfahren zum nachweis von halbleitersubstratanomalien

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102222605B (zh) * 2011-06-08 2013-05-15 致茂电子(苏州)有限公司 一种具有破片检测的晶圆输送设备
CN102222605A (zh) * 2011-06-08 2011-10-19 致茂电子(苏州)有限公司 一种具有破片检测的晶圆输送设备
CN102840831A (zh) * 2011-06-24 2012-12-26 上海瑞伯德智能系统科技有限公司 角码宽度视觉测量系统
CN103824743A (zh) * 2012-11-16 2014-05-28 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
CN103887210A (zh) * 2012-12-21 2014-06-25 东京毅力科创株式会社 基板位置检测装置、基板处理装置和成膜装置
CN104183522B (zh) * 2013-05-27 2018-11-06 东京毅力科创株式会社 基板脱离检测装置和方法、以及使用该装置的基板处理装置和使用该方法的基板处理方法
CN104183522A (zh) * 2013-05-27 2014-12-03 东京毅力科创株式会社 基板脱离检测装置和方法、以及使用该装置的基板处理装置和使用该方法的基板处理方法
CN103981504A (zh) * 2014-06-03 2014-08-13 上海先进半导体制造股份有限公司 物理气相淀积机台及其冷却腔体
CN110767591A (zh) * 2015-11-05 2020-02-07 英飞凌科技股份有限公司 用于晶片处理的方法和装置
CN110767591B (zh) * 2015-11-05 2023-10-03 英飞凌科技股份有限公司 用于晶片处理的方法和装置
US11637028B2 (en) 2015-11-05 2023-04-25 Infineon Technologies Ag Method and apparatus for use in wafer processing
CN107665831A (zh) * 2016-07-29 2018-02-06 台湾积体电路制造股份有限公司 用于半导体器件制造工具器具的测量的系统及其方法
CN107665831B (zh) * 2016-07-29 2022-04-19 台湾积体电路制造股份有限公司 用于半导体器件制造工具器具的测量的系统及其方法
CN108977790A (zh) * 2017-05-31 2018-12-11 日立造船株式会社 监视装置、监视方法和计算机可读存储介质
CN109898060A (zh) * 2017-12-11 2019-06-18 株式会社爱发科 蒸镀装置
CN109898060B (zh) * 2017-12-11 2021-06-25 株式会社爱发科 蒸镀装置
CN108987328B (zh) * 2018-05-31 2021-08-06 华灿光电(浙江)有限公司 外延生长的石墨基座及利用石墨基座监测外延生长的方法
CN108987328A (zh) * 2018-05-31 2018-12-11 华灿光电(浙江)有限公司 外延生长的石墨基座及利用石墨基座监测外延生长的方法
CN109016290B (zh) * 2018-08-01 2021-06-25 芜湖市崇兴乐塑胶有限公司 一种用于塑料产品生产用风冷定型干燥装置
CN109016290A (zh) * 2018-08-01 2018-12-18 芜湖市崇兴乐塑胶有限公司 一种用于塑料产品生产用风冷定型干燥装置
CN110880462A (zh) * 2018-09-06 2020-03-13 东京毅力科创株式会社 基片状态判断装置及方法、基片处理装置和模型生成装置
CN110880462B (zh) * 2018-09-06 2024-06-07 东京毅力科创株式会社 基片状态判断装置及方法、基片处理装置和模型生成装置
CN112342519A (zh) * 2019-08-09 2021-02-09 佳能特机株式会社 成膜系统、成膜系统的异常部位判别方法及计算机可读取的存储介质
CN112342519B (zh) * 2019-08-09 2023-09-22 佳能特机株式会社 成膜系统、成膜系统的异常部位判别方法及计算机可读取的存储介质
WO2022193345A1 (zh) * 2021-03-19 2022-09-22 台湾积体电路制造股份有限公司 晶圆位置采集机构及晶圆纠偏系统
CN114318281A (zh) * 2021-12-30 2022-04-12 布劳恩惰性气体系统(上海)有限公司 加热样品台及具有其的真空镀膜系统
CN114318281B (zh) * 2021-12-30 2023-12-15 布劳恩惰性气体系统(上海)有限公司 加热样品台及具有其的真空镀膜系统

Also Published As

Publication number Publication date
US20100124610A1 (en) 2010-05-20
TW201036081A (en) 2010-10-01
JP2010153769A (ja) 2010-07-08
KR20100056393A (ko) 2010-05-27

Similar Documents

Publication Publication Date Title
CN101740447A (zh) 基板位置检测装置、基板位置检测方法、成膜装置、成膜方法
CN102420154B (zh) 基板位置检测装置、成膜装置以及基板位置检测方法
CN101826447B (zh) 成膜装置和成膜方法
CN102051597B (zh) 成膜装置和成膜方法
CN101748387B (zh) 成膜装置
CN101736320B (zh) 成膜装置和成膜装置的清洁方法
KR101403818B1 (ko) 성막 장치 및 성막 방법
KR101892339B1 (ko) 기판 처리 장치
CN101665925B (zh) 成膜装置、成膜方法
KR101657388B1 (ko) 성막 장치
US20100055312A1 (en) Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium
US9790597B2 (en) Substrate processing apparatus, substrate processing method and storage medium
TW201546315A (zh) 成膜裝置
KR20140042699A (ko) 성막 장치
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
CN101665919A (zh) 成膜装置、基板处理装置、成膜方法
CN104451598A (zh) 硅氧化膜的制造方法
CN106467964B (zh) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20100616