KR101666613B1 - 웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치 - Google Patents

웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치 Download PDF

Info

Publication number
KR101666613B1
KR101666613B1 KR1020090023548A KR20090023548A KR101666613B1 KR 101666613 B1 KR101666613 B1 KR 101666613B1 KR 1020090023548 A KR1020090023548 A KR 1020090023548A KR 20090023548 A KR20090023548 A KR 20090023548A KR 101666613 B1 KR101666613 B1 KR 101666613B1
Authority
KR
South Korea
Prior art keywords
wafer
photosensor
signal
chamber
axis direction
Prior art date
Application number
KR1020090023548A
Other languages
English (en)
Other versions
KR20090105819A (ko
Inventor
마사히로 타키자와
마사에 스와다
마사유키 아카가와
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20090105819A publication Critical patent/KR20090105819A/ko
Application granted granted Critical
Publication of KR101666613B1 publication Critical patent/KR101666613B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40562Position and orientation of end effector, teach probe, track them
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 공정 장치가 개시된다. 반도체 공정 장치는 웨이퍼 핸들링 챔버; 웨이퍼 공정 챔버; 웨이퍼 핸들링 장치; 웨이퍼 공정 챔버의 앞측에서 웨이퍼 핸들링 챔버 내에 위치한 제1 포토 센서; 및 웨이퍼 공정 챔버의 앞측에서 웨이퍼 핸들링 챔버 내에 위치한 제2 포토 센서를 포함하고, 제1 포토 센서의 위치는, 웨이퍼가 바로 로드할 수 있는 위치에서 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 또한 웨이퍼가 바로 로드할 수 있는 위치로부터 X-축 방향으로 웨이퍼 공정 챔버를 향하여 이동할 때에, 제1 포토 센서에 의하여 수신되는 광을 실질적으로 전부 차단하는 위치이고, 제2 포토 센서의 위치는, 웨이퍼가 바로 로드할 수 있는 위치에서 제2 포토 센서에 의하여 수신되는 광을 차단하지 않고, 또한 웨이퍼가 바로 로드할 수 있는 위치로부터 X-축 방향으로 웨이퍼 공정 챔버를 향하여 이동할 때에, 제2 포토 센서에 의하여 수신되는 광을 부분적으로 차단하는 위치이다.
반도체, 웨이퍼, 핸들링, 포토 센서, 편향, 정렬

Description

웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치{Wafer processing apparatus with wafer alignment device}
본 발명은 일반적으로 웨이퍼 정렬 장치가 제공된 웨이퍼 공정 장치에 관한 것으로서, 보다 상세하게는 그 상에 위치하는 웨이퍼의 편향을 보상하는 웨이퍼 핸들링 장치에 관한 것이다.
최근에, 단위 시간 당 공정 처리되는 웨이퍼들의 갯수(즉, 쓰루풋)는 반도체들의 제조에 사용되는 반도체 제조 장치들과 관련하여 많은 관심이 집중되어 있다. 쓰루풋을 개선하기 위하여, 웨이퍼 공정 챔버들의 갯수를 증가시키고, 웨이퍼 핸들링 장치에 다중 아암들을 제공하고, 웨이퍼 핸들링 장치의 웨이퍼 로딩 속도를 증가시키는 것과 같은, 다양한 시도들이 반도체 제조 장치들에 수행되어 왔다.
그러나, 더 높은 웨이퍼 로딩 속도들에 기인하는 웨이퍼 핸들링 장치에서의 웨이퍼들의 편향의 문제점이 해결되지 않았다. 보다 상세하게는, 웨이퍼가 웨이퍼 공정 챔버 내에서 특정한 위치로부터 벗어난 위치에 위치하면, 막의 균일성의 저하와 같은 다양한 공정 문제점들이 발생할 수 있다. 또한, 웨이퍼를 웨이퍼 저장 챔버로 되돌리는 공정 중에 상기 웨이퍼 저장 챔버 내에 상기 웨이퍼를 떨어뜨릴 수 있고, 또는 상기 웨이퍼가 상기 챔버와 부딛혀서 손상을 입을 수 있다.
이러한 웨이퍼 편향의 문제점을 해결하기 위하여 일반적으로 알려진 방법은, 웨이퍼 위치의 편향을 검출하고 상기 웨이퍼 위치를 수정하기 위하여, 상기 웨이퍼 핸들링 장치가 웨이퍼를 목표 위치에 로딩하고 올바른 웨이퍼 위치에 상응하는 미리 등록된 값에 대하여 측정값을 비교할 때에, 웨이퍼 로딩 목표 위치에 또는 상기 위치의 앞측에 하나 또는 복수의 포토 센서들을 위치시키고, 상기 포토 센서에 의하여 수신되는 광이 차단되는 시간 간격 또는 상기 핸들링 장치의 인코더로부터 복수의 펄스들을 측정하는 것이다.
또한, 상술한 방법에 대하여 적합하도록, 상기 웨이퍼 핸들링 장치를 작동하는 도중에, 출력 신호들을 디지털 샘플링하는 것이 센서 출력을 검출하기 위하여 사용되는 일반적인 방법이다. 특히, 이러한 방법은, 신호 값들을 시간 순서로 디지털 값들로 변환시키고, 최대값 또는 최소값을 구하기 위하여 상기 변환된 일련의 디지털 데이터를 사용한다. 최근에는, 이이에스(Equipment Engineering System, EES)와 같은 시스템들을 이용하여 신호 샘플링 및 데이터 분석을 수행한다.
그러나, 상기 샘플링 방법을 사용하는 경우에 있어서, 고속으로 작동되는 웨이퍼 핸들링 장치가 붙잡기가 어려워진다. 샘플링 주파수가 EES에 의하여 사용되는 일반적인 샘플링 주파수인 100 ms인 경우에 있어서, 상기 웨이퍼 핸들링 장치의 아암 작동을 수행하는 것은 거의 불가능하다. 상기 웨이퍼 핸들링 장치의 작동 속도가 이러한 문제점을 야기하도록 저하되면, 반도체 제조 장치의 쓰루풋은 저하된다. 반면, 샘플링 주파수가 증가되면, 데이터의 양이 증가되고, 상기 데이터를 저 장할 저장 장치가 필요하게 된다. 이는 불가피한 비용의 증가를 가져오게 된다.
또한, 상기 웨이퍼 핸들링 장치의 아암의 연장 방향으로의 편향은 상기 센서 출력 만으로는 검출되지 않는다. 상기 아암의 연장 방향으로의 편향을 검출하기 위하여, 핸들링 장치 제어기는 상기 웨이퍼 핸들링 장치 자체의 작동을 모니터하고 또한 상기 센서에 의하여 수신되는 광을 차단하는 시간 간격 동안의 상기 핸들링 장치의 인코더로부터의 펄스들의 갯수를 측정하도록 특정적으로 설계된 빌트-인 웨이퍼 편향 검출부를 가져야 한다. 이러한 검출부의 사용은 검출 정확도와 비용 증가와 같은 현재의 관심사항들을 제기할 수 있다.
본 발명이 이루고자 하는 기술적 과제는, 그 상측에 위치하는 웨이퍼의 편향을 보상하는 웨이퍼 핸들링 장치를 포함하는 반도체 공정 장치를 제공하는 것이다.
또한, 본 발명이 이루고자 하는 다른 기술적 과제는, 그 상측에 위치하는 웨이퍼의 편향을 보상하는 웨이퍼 핸들링 장치를 이용하여 웨이퍼 핸들링 챔버와 연결된 웨이퍼 공정 챔버로 웨이퍼를 이송하는 웨이퍼 이송 방법을 제공하는 것이다.
상술한 문제점들의 적어도 하나를 해결하기 위한 본 발명의 일부 실시예들에 따라서, 반도체 제조 장치는 웨이퍼 핸들링 장치 상에 위치한 웨이퍼의 앞측과 측면에 위치한 포토 센서들을 포함한다. 상기 웨이퍼 핸들링 장치가 정지하는 동안에는 앞측에 위치한 포토 센서로부터의 출력 신호가 검출되고, 상기 웨이퍼 핸들링 장치가 상기 웨이퍼를 상기 공정 챔버로 로딩함에 따라 상기 웨이퍼가 상기 센서를 지나는 때에, 측면에 위치한 포토 센서로부터 출력 신호가 검출된다. 상기 웨이퍼의 편향량을 계산하고, 상기 편향량을 보정하기 위하여, 상기 두 개의 검출된 출력 신호들은 정확한 웨이퍼 위치에 상응하는 미리 등록된 신호에 대하여 비교되고, 이에 따라 상기 공정 챔버 내에 정확한 위치로 상기 웨이퍼를 로딩할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 웨이퍼의 측면에 위치한 포토 센서는, 상기 웨이퍼에 의하여 차단되는 상기 센서에 의하여 수신되는 광의 최대양을 저장할 수 있는 피크 유지 회로와 연결된다. 이러한 방법에서는, 웨이퍼 핸들링 장치의 속도가 감소될 필요가 없고, 포토 센서의 출력 신호들 또는 상기 핸들링 장치로부터의 펄스들의 수의 고속 샘플링이 요구되지 않는다. 또한, 핸들링 장치 제어부 내에 특정적인 빌트-인 웨이퍼 편향 검출부를 제공할 필요가 없다. 상술한 모든 이점들은 결합하는 경우, 효과적인 비용으로 웨이퍼 편향의 검출과 보정을 위한 기능을 제공할 수 있다.
본 발명의 측면들 관련된 기술에 비하여 달성된 잇점들을 개괄하는 목적으로서, 본 발명의 특정한 목적들 및 잇점들이 본 명세서에 개시되어 있다. 물론, 본 발명의 특정한 실시예들에 따라, 이러한 특정한 목적들 및 잇점들 모두가 반드시 달성될 필요가 없음을 이해할 수 있다. 따라서, 예를 들어, 본 기술분야의 당업자는, 본 명세서에서 가르치거나 제시할 수 있는 다른 목적들 또는 잇점들을 필수적으로 달성하지 않고, 본 명세서의 가르침에 따라 하나의 잇점들 또는 일군의 잇점들을 달성하거나 또는 최적화하기 위한 방법에 의하여 실시되거나 수행될 수 있음을 이해할 수 있다.
본 발명의 다른 측면들, 형상들, 잇점들은 하기의 바람직한 실시예들의 상세한 설명에 의하여 명백할 것이다.
본 발명의 반도체 제조 장치는 웨이퍼 핸들링 장치 상에 위치한 웨이퍼의 앞측과 측면에 위치한 포토 센서들을 포함한다. 본 발명에서는, 웨이퍼 핸들링 장치의 속도가 감소될 필요가 없고, 포토 센서의 출력 신호들 또는 상기 핸들링 장치로부터의 펄스들의 수의 고속 샘플링이 요구되지 않는다. 또한, 핸들링 장치 제어부 내에 특정적인 빌트-인 웨이퍼 편향 검출부를 제공할 필요가 없다. 상술한 모든 이점들은 결합하는 경우, 효과적인 비용으로 웨이퍼 편향의 검출과 보정을 위한 기능을 제공할 수 있다.
본 발명은 바람직한 실시예들과 도면들을 참조하여 설명된다. 상기 바람직한 실시예들 및 도면들은 본 발명을 한정하기 위한 것은 아니다.
본 발명의 일부 실시예들에 있어서, 반도체 공정 장치는: (I) 웨이퍼 핸들링 챔버; (II) 상기 웨이퍼 핸들링 챔버와 각각 연결된 적어도 하나의 웨이퍼 공정 챔버; (III) 상기 웨이퍼 핸들링 챔버 내에 위치하고, 웨이퍼 공정 챔버 내에 웨이퍼를 로딩하기 위한 웨이퍼 핸들링 장치; (IV) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 적어도 하나의 제1 포토 센서(photosensor); 및 (V) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 적어도 하나의 제2 포토 센서를 포함한다. 상기 웨이퍼 핸들링 장치는 자신 위에 웨이퍼를 위치시키기 위한 말단 작동기(end effector)를 포함한다. 상기 말단 작동기는 바로 로드할 수 있는 위치(ready-to-load position)로부터, 웨이퍼 로딩 방향인 X-축 방향 및 웨이퍼 로딩 평면 상의 상기 X-축 방향에 대하여 수직인 Y-축 방향으로, 상기 웨이퍼 공정 챔버로 이동가능하다. 상기 적어도 하나의 제1 포토 센서의 위치는 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상 기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제1 포토 센서에 의하여 수신되는 광을 실질적으로 전부 차단하는 위치이다. 상기 적어도 하나의 제2 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제2 포토 센서에 의하여 수신되는 광을 차단하지 않고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 수신되는 광을 부분적으로 차단하는 위치이다.
상술한 본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서는, 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 감지된 광의 양을 표시하는 제1 신호를 출력하기에 적합할 수 있다. 또한, 상기 제2 포토 센서는, 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 감지된 광의 최소량을 표시하는 제2 신호를 출력하기에 적합할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 반도체 공정 장치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 X-축 방향 또는 상기 Y-축 방향으로 편향(deviation)이 없음을 표시하는 표준 제1 신호 및 표준 제2 신호를 저장하는 제어부를 더 포함할 수 있다. 상기 제어부는, 상기 제1 포토 센서 및 상기 제2 포토 센서로부터의 상기 제1 신호 및 상기 제2 신호를 수신하고, 상기 X-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향 및 상기 Y-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향을 결정하기 위하여, 상기 제1 신호 및 상기 제2 신 호를 상기 표준 제1 신호 및 상기 표준 제2 신호와 비교한다. 본 발명의 일부 실시예들에 있어서, 상기 제어부는, 상기 웨이퍼의 결정된 편향에 기초하여 상기 웨이퍼 핸들링 장치를 제어하고, 상기 편향들을 보상하여 상기 웨이퍼 공정 챔버로 상기 말단 작동기를 이동시킬 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 제어부는, 상기 제2 포토 센서로부터 출력된 상기 제2 신호를 임시적으로 유지하기 위한 피크 유지 회로를 포함할 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 제1 신호 및 상기 제2 신호는 아날로그 신호들일 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 신호들은 8 비트(8 bits) 신호들로 구성된다.
본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서는, 상기 말단 작동기의 상측에서 보았을 때, 상기 웨이퍼 핸들링 장치의 축으로부터 상기 웨이퍼 공정 챔버의 중심까지 이어진 X-축에 정렬되어 위치할 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서 및 상기 제2 포토 센서는 상기 웨이퍼 공정 챔버에 인접하여 위치할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서는 상기 X-축 방향으로 연장된 형상을 가질 수 있다. 상기 제2 포토 센서는 상기 Y-축 방향으로 연장된 형상을 가질 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서는 상기 웨이퍼 공정 챔버의 앞측에서 장애물을 검출하기 위한 센서로서 또한 기능할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 웨이퍼 핸들링 장치는, 상기 바로 로드할 수 있는 위치에 상기 말단 작동기를 위치시키기 위하여, 상기 웨이퍼 핸들 링 장치의 축 둘레로 상기 말단 작동기를 회전시키기에 적합할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 적어도 하나의 웨이퍼 공정 챔버는, 상기 웨이퍼 핸들링 챔버 주위에 위치한 둘 또는 그 이상의 웨이퍼 공정 챔버들로 구성될 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 웨이퍼 핸들링 장치는, 말단 작동기를 각각 가지는 이중 아암들(double arms)을 포함할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 웨이퍼 핸들링 장치는 상기 웨이퍼를 클램핑하는 웨이퍼 클램퍼(wafer clamper)를 더 포함할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서 및 상기 제2 포토 센서 각각은, 상기 웨이퍼 핸들링 장치의 축 방향으로 상기 말단 작동기의 하측에 위치한 발광 부재(light emitter) 및 상기 축 방향으로 상기 말단 작동기의 상측에 위치한 광 센서로 구성될 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 광 센서는 CCD 요소에 의하여 구성될 수 있다.
본 발명의 다른 측면은 웨이퍼 핸들링 챔버와 연결된 웨이퍼 공정 챔버로의 웨이퍼 이송 방법과 관련된다. 상기 웨이퍼 이송 방법은: 상기 웨이퍼 핸들링 챔버 내에 위치한 상기 웨이퍼 핸들링 장치의 말단 작동기 상에 웨이퍼를 위치하는 단계; (ii) 상기 웨이퍼와 함께 상기 말단 작동기를 바로 로드할 수 있는 위치로 이동하는 단계; (iii) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 제1 포토 센서에 의하여, 웨이퍼 로딩 방향인 X-축 방향으로 상기 말단 작동기 상의 기준 위치로부터 상기 웨이퍼의 편향을 검출하는 단계; (iv) 상기 웨이퍼와 함께 상기 말단 작동기를 상기 X-축 방향으로 바로 로드할 수 있는 위치 로부터 상기 웨이퍼 공정 챔버로 이동하는 단계; (v) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 제2 포토 센서에 의하여, 웨이퍼 로딩 평면 상의 상기 X-축 방향에 대하여 수직인 Y-축 방향으로 상기 말단 작동기 상의 기준 위치로부터 상기 웨이퍼의 편향을 검출하는 단계; 및 (vi) 상기 웨이퍼 공정 챔버 내에 상기 웨이퍼를 로딩할 때에, 상기 X-축 방향 및 상기 Y-축 방향의 상기 검출된 편향들을 기초로 하여 상기 말단 작동기의 이동을 조정하는 단계;를 포함한다. 상기 단계 (iii)에서의, 상기 제1 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제1 포토 센서에 의하여 수신되는 광을 실질적으로 전부 차단하는 위치이다. 상기 단계 (v)에서의, 상기 제2 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제2 포토 센서에 의하여 수신되는 광을 차단하지 않고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 수신되는 광을 부분적으로 차단하는 위치이다.
상술한 본 발명의 일부 실시예들에 있어서, 상기 단계 (iii)에서, 상기 제1 포토 센서는, 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 감지된 광의 양을 표시하는 제1 신호를 출력할 수 있다. 상기 단계 (v)에서, 상기 제2 포토 센서는, 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 웨이퍼 공정 챔버로 이동할 때에, 상기 제2 포토 센서에 의하여 감지된 광의 최소량을 표시하는 제2 신호를 출력할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 단계 (vi)은, 상기 제1 포토 센서 및 상기 제2 포토 센서로부터의 상기 제1 신호 및 상기 제2 신호를 수신하는 단계; 및 상기 X-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향 및 상기 Y-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향을 결정하기 위하여, 상기 제1 신호 및 상기 제2 신호를 표준 제1 신호 및 표준 제2 신호와 비교하는 단계;를 포함할 수 있다. 상기 표준 제1 신호 및 상기 표준 제2 신호는 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 X-축 방향 또는 상기 Y-축 방향으로 편향이 없음을 표시한다.
본 발명의 일부 실시예들에 있어서, 상기 단계 (vi)은, 상기 웨이퍼의 결정된 편향에 기초하여 상기 웨이퍼 핸들링 장치를 제어하고, 상기 편향들을 보상하여 상기 웨이퍼 공정 챔버로 상기 말단 작동기를 이동시키는 단계를 포함할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 단계 (iii)에서, 상기 편향은 상기 말단 작동기의 상측에서 보았을 때, 상기 웨이퍼 핸들링 장치의 축으로부터 상기 웨이퍼 공정 챔버의 중심까지 이어진 X-축에서 측정될 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 제1 포토 센서 및 상기 제2 포토 센서는 상기 웨이퍼 공정 챔버에 인접하여 위치할 수 있다. 본 발명의 일부 실시예들에 있어서, 상기 편향은, 상기 제2 포토 센서로부터 출력된 상기 제2 신호를 임시적으로 유지하기 위 한 피크 유지 회로에 의하여 측정될 수 있다.
상술한 본 발명의 일부 실시예들에 있어서, 상기 단계 (ii)는, 상기 바로 로드할 수 있는 위치에 상기 말단 작동기를 위치시키기 위하여, 상기 웨이퍼 핸들링 장치의 축 둘레로 상기 말단 작동기를 회전시키는 단계를 포함할 수 있다.
본 발명의 일부 실시예들에 있어서, 상기 단계 (iii)과 상기 단계 (v)는 상기 제1 신호 및 상기 제2 신호로서 아날로그 신호들을 사용할 수 있다.
본 발명은 하기에 도면들을 참조하여 보다 상세하게 설명되지만, 이에 의하여 본 발명이 한정되는 것은 아니다.
조건들 및/또는 구조들이 특정되지 않는 본 개시에 있어서, 본 기술 분야의 당업자는, 통상적인 실험법들에 관련되어, 본 개시에 대하여 이러한 조건들 및/또는 구조들을 제공할 수 있다.
도 1은 통상적인 반도체 제조 장치의 일예를 도시하는 개략도이다. 상기 장치는 하기와 같은 개별적인 챔버들(모듈들)을 포함한다:
IOC1, IOC2 (In-Out Chamber): 웨이퍼 입력/출력 챔버들(3, 4)
WHC (Wafer Handling Chamber): 웨이퍼 핸들링 챔버(2)
RC1, RC2, RC3, RC4 (Reactor Chamber): 웨이퍼 공정 챔버들(5, 6, 7, 8)
도 1에 있어서, 웨이퍼 핸들링 장치(1) (진공 로보트, 또는 VR)는 웨이퍼 핸들링 챔버(2) 내에 위치한다. 이러한 웨이퍼 핸들링 장치(1)는, 쓰루풋을 개선하 기 위하여, 두 개의 아암들(arms)을 가진다. 상기 두 개의 아암들은, 예를 들어 상기 웨이퍼 공정 챔버 내에서 공정이 완료된 웨이퍼를 픽업하는 것과 동시에 공정 처리할 후속 웨이퍼를 상기 공정 챔버로 이송하는 것을 허용함으로써 쓰루풋을 개선하고, 이에 따라, 웨이퍼 로딩 시간을 감소시키고, 이에 따라 쓰루풋을 개선할 수 있도록, 공정 처리된 웨이퍼를 픽업하는 작동 직후에 작동에 의하여 상기 공정 챔버 내에 새로운 웨이퍼를 위치시킬 수 있다.
이어서, 도 1에 도시된 상기 웨이퍼 핸들링 장치가 도 2에 도시된다. 도 2에 있어서, 단지 하나의 아암만이 도시되어 있다.
웨이퍼 이송 장치(1)는, 조인트들을 가지는 아암들(22)과 회전가능하게 연결된 말단 작동기(21)를 포함한다. 아암들(22)은 회전가능한 지지부(23)에 회전가능하게 연결된다. 말단 작동기(21)는 앞측 단부에 위치한 돌출부들(24)과 후측 단부에 위치한 돌출부들(25)인 웨이퍼 위치부들을 제공한다. 상기 말단 작동기는 하나 이상의 말단 작동기로 구성될 수 있다.
이어서, 그 상측에 웨이퍼(33)를 가지는 경우의 웨이퍼 핸들링 장치(1)가 도 3에 도시되어 있다. 도 3에 도시된 바와 같이, 상기 웨이퍼 핸들링 장치 상에 웨이퍼(33)가 위치하는 경우에 있어서, 상기 웨이퍼 핸들링 장치 상의 웨이퍼(33)의 말단은, 상기 웨이퍼 핸들링 챔버 내의 특정 위치에 위치한 제1 포토 센서(31)에 의하여 수신되는 광을 차단한다. 또한, 상기 웨이퍼 핸들링 장치가 도 3의 좌측에 위치한 웨이퍼 공정 챔버(미도시)로 웨이퍼(33)를 로드하는 경우에 있어서, 도 3에 도시된 바와 같은 웨이퍼(33)의 바닥 에지는 상기 바닥 에지가 통과하는 위치에 위 치한 제2 포토 센서(32)에 의하여 수신되는 광을 차단한다. 다시 말하면, 상기 웨이퍼 핸들링 장치가 상기 웨이퍼를 정확한 위치에 위치시키기 위하여, 상기 웨이퍼 핸들링 챔버 상에 두 개의 포토 센서들이 위치하고 있어야 하고, 상기 웨이퍼의 좌측 에지(도 3에 도시됨)가 제1 포토 센서(31)에 의하여 수신되는 광을 차단하고, 또한 상기 공정 챔버 내로 웨이퍼(33)가 화살표(34)로 표시된 X-축 방향으로 로드되는 경우에는, 상기 웨이퍼의 하측 에지(도 3에 도시됨)는 제2 포토 센서(32)를 통과하고, 상기 포토 센서에 의하여 수신되는 광을 차단한다(또한, 상기 웨이퍼 핸들링 챔버, 상기 웨이퍼 핸들링 장치 등의 구조들에 의존하여 두 개 이상의 포토 센서들이 위치할 수 있다). 도 3에서는, 상기 웨이퍼가 그 상측에서 보았을 때, 상기 Y-축은 상기 X-축에 대하여 수직으로 연장된다.
여기에서, 상기 웨이퍼 핸들링 챔버 상의 포토 센서들(31, 32)의 위치들은, 도 4의 원들로 표시되어 있다. 도 3에 도시된 바와 같이, 제1 포토 센서(31)는 상기 웨이퍼 핸들링 장치의 회전 중심을 지나는 일직선을 따라 위치하고, 또한 각각의 웨이퍼 공정 챔버(5, 6, 7 또는 8) 또는 웨이퍼 입력/출력 챔버의 앞측에 위치한다. 상기 센서가 각각의 공정 챔버를 위한 게이트 밸브(42)의 앞측에 위치하므로, 상기 센서는 또한 게이트 밸브(42)의 장애물 센서로서 사용될 수 있고, 이에 따라 센서들의 갯수를 감소시킬 수 있고, 보다 비용 효율적인 장치를 제공할 수 있다. 또한, 도 3에 도시된 바와 같이, 상기 웨이퍼 핸들링 장치가 상기 웨이퍼를 상기 공정 챔버에 로드할 때에, 상기 제2 포토 센서는 상기 웨이퍼의 측부 에지가 상기 센서를 통과하도록 위치한다. 또한, 상기 센서는 각각의 상기 웨이퍼 공정 챔버 또는 상기 웨이퍼 입력/출력 챔버의 앞측에 위치한다. 상기 핸들링 장치가 회전할 때에, 상기 제1 포토 센서가 상기 웨이퍼의 외측 주변 에지의 트랙(41)을 따라 위치하는 반면, 상기 제2 포토 센서는 상기 트랙(41)을 따라 위치할 필요가 없다(그러나, 상기 센서는 상기 트랙을 따라서 확실히 위치할 수 있다).
제2 포토 센서에 의하여 수신되는 광이, 상기 핸들링 장치가 회전을 멈추고 상기 웨이퍼를 상기 공정 챔버로 상기 X-축 방향으로 로딩할 수 있을 때(즉, 로딩 위치에 있을 때)는 차단되지 않지만, 로딩 작동이 시작된 후 상기 웨이퍼가 상기 공정 챔버 내부로 로딩되기 전의 공정에 있어서는 부분적으로 차단되는 곳에 상기 제2 포토 센서가 위치하는 것으로 충분하다. 또한, 상기 웨이퍼가 상기 로딩 위치에서 상기 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 로딩 작동이 시작되고 상기 웨이퍼가 상기 공정 챔버 내로 로딩되기 전에 상기 공정에서 사실상 완전히(단지 잠시동안) 상기 센서에 의하여 수신되는 광을 차단하는 한, 상기 제1 포토 센서는 상기 X-축 상에 정확히 일치하여 위치하는 대신에 상기 X-축에서 약간 벗어나서 위치할 수 있다.
또한, 상기 입력/출력 챔버들 내에 상기 웨이퍼 위치를 최적화하기 위하여, 제1 포토 센서(31')와 제2 포토 센서(32')가 상기 웨이퍼 입력/출력 챔버들(3, 4) (또는 로드록 챔버들)의 앞측에 위치할 수 있다.
이어서, 상기 포토 센서의 작동 원리가 도 5에 도시되어 있다. 여기서 사용되는 포토 센서는 특정한 치수(...내지...mm)로 서로 이격된 발광부(51) 및 광수신부(52)를 포함한다. 상기 센서에 의하여 수신되는 광이 웨이퍼(33)에 의하여 차단 될 때에, 광의 양이 변화하고, 이는 센서의 출력을 변화시킨다(본 발명의 일부 실시예들에 있어서, 상기 웨이퍼 위치는 상기 웨이퍼에 의하여 차단된 광의 양을 기초로 하여 약 1 미크론(micron)의 정확도(반복성)로 검출될 수 있다). 발광부(51)는 바람직하게는 반도체 레이저 빔(예를 들어, 670 nm의 파장을 가짐)의 소스인 단일 광 소스이고, 반면 광수신부(52)는 CCD 요소를 포함하고, 선을 따라서 위치한다. 바람직하게는, 상기 포토 센서는 그 폭과 길이에 대하여 다른 치수들을 가질 수 있고, 또한 특히 광수신부(52)는 웨이퍼 편향을 적절하게 검출할 수 있는 길이(longitudinal) 방향을 가질 수 있다(광수신부(52)와 발광부(51)는 바람직하게는 대략적으로 동일한 형상을 가지는 쌍으로서 제공될 수 있다). 예를 들어, 상기 발광부는 9 mm × 3 mm의 발광 영역을 가질 수 있고, 반면 상기 광수신부는 7 mm × 0.085 mm의 효과적인 광 수신 면적을 가질 수 있다(효과적인 광수신 면적의 종횡비는 어디에서나 10 배에서 100 배의 범위이다). 발광부(51)는 하측에 위치하고, 반면 광수신부(52)는 상측에 위치하고, 이는 상기 광수신 센서의 위치의 조정을 용이하게 한다. 본 발명의 일부 실시예들에서 바람직하게 사용될 수 있는 포토 센서들은 PBZ-CL007V(야마타케에 의한 레이저형 라인 CCD, laser-type line CCD by Yamatake)을 포함한다.
광수신부(52)로부터의 신호는 제어부(53)로 입력되고, 제어부(53)에는 적절한 웨이퍼 위치에 상응하는 신호값(참조값)이 등록되어, 상기 입력된 신호값과 상기 참조값 사이의 비교를 허용한다. 이러한 비교 결과를 기초로 하여, 웨이퍼 핸들링 장치를 제어하고, 상기 공정 챔버로 웨이퍼를 로드할 때에, 상기 웨이퍼의 위 치를 보정하기 위하여, 제어부(53)는 웨이퍼 핸들링 장치 액츄에이터(54)에 보정 양을 표시하는 제어 신호를 출력한다.
이어서, 상기 웨이퍼 핸들링 장치의 실제의 작동과 웨이퍼 편향을 검출하고 보정하는 방법에 대하여 상세하게 설명하기로 한다. 먼저, 웨이퍼 편향을 검출하는 일예를 도 3 및 도 12를 참조하여 설명하기로 한다. 웨이퍼(33)를 상기 웨이퍼 입력/출력 챔버로부터 픽업한 후에, 상기 웨이퍼 핸들링 장치는 회전하고, 타겟 웨이퍼 공정 챔버의 바로 앞측(즉, 바로 로드할 수 있는 위치, ready-to-load position)에 웨이퍼를 이동시킨다(도 12(a)). 상기 웨이퍼 핸들링 장치 및 웨이퍼가 상기 타겟 웨이퍼 공정 챔버의 앞측에 정지하고 있는 경우에는, 제1 포토 센서(31)의 출력이 검출된다. 상기 검출된 출력은, 도 3에 따라서, 상기 X-축 방향으로 웨이퍼 위치를 노출한다. 이어서, 도 12(b)에 도시된 바와 같이, 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 타겟 웨이퍼 공정 챔버로 직선적으로 움직이는 경우에는, 제2 포토 센서(32)의 출력이 검출된다. 상기 검출된 출력은, 도 3에 따라서, 상기 Y-축 방향으로 웨이퍼 위치를 노출한다. 도 6 및 도 7은 X-축 및 Y-축의 웨이퍼 위치들의 검출 메커니즘을 각각 도시한다.
도 6은 상기 웨이퍼 핸들링 장치의 작동 시간에 대하여 제1 포토 센서(31)의 출력의 변화를 개략적으로 도시하는 다이어그램이다. 수평축은 시간(t)을 나타내고, 수직축은 센서에 의하여 수신되는 광의 양(quantity of light, QL)을 나타낸다. 도 6의 좌측에서는, 상기 웨이퍼 핸들링 장치는 회전하고, 상기 타겟 웨이퍼 공정 챔버로 점진적으로 접근하고, 결과적으로 제1 포토 센서(31)에 의하여 수신되 는 광이 점진적으로 차단되고, 광의 양이 감소된다. 도 6의 중심에서는, 상기 웨이퍼 핸들링 장치가 회전을 종료하고, 잠시 정지한다. 이후에, 상기 타겟 웨이퍼 공정 챔버로 상기 웨이퍼가 로드될 때에, 제1 포토 센서(31)에 의하여 수신되는 광이 상기 웨이퍼에 의하여 완전히 차단되고, 이에 따라 광의 양이 0이된다. 이는 도 6의 우측에서 발생한다.
여기에서, 도 6은 광의 양의 변화를 나타내는 두 개의 선들인 실선 A와 점선 B가 도시되어 있다. 실선 A는 상기 웨이퍼가 상기 웨이퍼 핸들링 장치 상에 정확하게 위치하는 경우에 있어서 광의 양의 변화를 나타내고, 반면 점선 B는 상기 웨이퍼 핸들링 장치 상에서 상기 웨이퍼가 편향되는 경우에 있어서 광의 양의 변화를 나타낸다. 상기 두 개의 광의 양들 사이의 차이는 상기 웨이퍼의 정확한 위치로부터 편향을 제공한다. 본 발명의 일부 실시예들에 있어서, 상기 웨이퍼 핸들링 장치가 정지하고, 타겟 웨이퍼 공정 챔버의 앞측에서 정지하는 동안 얻은 상기 두 개의 광의 양들 사이의 차이는 편향량으로 주어진다. 또한, 상기 웨이퍼가 상기 웨이퍼 핸들링 장치 상에 정확히 위치하는 경우에 있어서의 광의 양이 장치 제어부(53) 내에 먼저 등록될 필요가 있고, 웨이퍼 편향량을 검출하기 위하여 상기 등록된 값은 실제 센서 출력(광의 양)과 비교된다. 도 6에 있어서, 상기 웨이퍼는 상기 포토 센서에 의하여 수신되는 광을 더 차단하는 방향으로 편향된다. 물론, 상기 웨이퍼는 반대 방향으로 또한 편향될 수 있고, 이러한 경우 도 6에 실선 A의 상에 점선이 위치한다.
이어서, 상기 웨이퍼 핸들링 장치의 작동 시간 대하여 제2 포토 센서(32)의 출력이 변화되는 것이 도 6과 동일한 방법으로 도 7에 도시되어 있다. 도 7의 좌측에서는 상기 웨이퍼의 측부 에지가 제2 포토 센서(32)에 의하여 수신되는 광을 점진적으로 차단하고, 도 7의 중심에서는 상기 웨이퍼가 제2 포토 센서(32)에 의하여 수신되는 광을 최대한 차단한다. 이후에, 상기 웨이퍼가 상기 센서로부터 멀어지게 이동함에 따라, 상기 센서에 의하여 수신되는 광의 양은 증가된다. 이는 도 7의 우측에서 발생한다.
또한, 여기에서 도 6에 대한 설명과 유사한 방법으로, 광의 양의 두 가지 변화가 실선 A와 점선 C에 의하여 도시되어 있다. 실선 A는 상기 웨이퍼가 상기 웨이퍼 핸들링 장치 상에 정확하게 위치하는 경우에 있어서 광의 양의 변화를 나타내고, 반면 점선 C는 상기 웨이퍼 핸들링 장치 상에서 상기 웨이퍼가 편향되는 경우에 있어서 광의 양의 변화를 나타낸다. 상기 웨이퍼가 제2 포토 센서(32)에 의하여 수신되는 광을 최대한 차단할 때의 상기 두 개의 광의 양들 사이의 차이는 상기 웨이퍼의 편향을 제공한다. 상기 웨이퍼 핸들링 장치가 고속으로 작동하는 동안의, 최대로 차단된 광의 양을 검출하는 방법을 하기에 설명하기로 한다. 도 6의 경우에 따라서, 상기 웨이퍼 핸들링 장치 상에 상기 웨이퍼가 정확하게 위치한 경우의 광의 양을 장치 제어부(53)에 미리 등록할 필요가 있고, 또한 웨이퍼 편향량을 검출하기 위하여 실제 센서 출력(광의 양)에 대하여 비교한다. 다시 도 7에 있어서, 상기 웨이퍼는 상기 포토 센서에 의하여 수신되는 광을 더 차단하는 방향으로 편향된다. 물론, 상기 웨이퍼는 반대 방향으로 또한 편향될 수 있고, 이러한 경우 도 7에 실선 A의 상에 점선이 위치한다.
상술한 작동 원리를 명백하게 설명하기 위하여, 도 10 및 도 11은 각각 도 6 및 도 7에 도시된 웨이퍼 편향의 조건들에서의 상기 웨이퍼 핸들링 장치와 상기 포토 센서의 위치 관계를 도시한다. 보다 상세하게는, 웨이퍼(33)가 도 10의 정확한 위치 A에 위치하는 경우에 있어서, 상기 웨이퍼의 말단은 포토 센서(31)의 중심에 인접하여 위치한다. 반면, 상기 웨이퍼의 위치가 X-축 방향으로 이동하는 경우에 있어서(위치 B로 이동하는 경우임), 상기 포토 센서에 의하여 수신되는 광은 더 차단된다. 도 11에 있어서, 웨이퍼(33)가 정확한 위치 A에 위치하는 경우에 있어서, 상기 웨이퍼의 말단은 포토 센서(32)의 중심에 인접하여 위치한다. 반면, 상기 웨이퍼의 위치가 Y-축 방향으로 이동하는 경우에 있어서(위치 C로 이동함), 상기 포토 센서에 의하여 수신되는 광은 더 차단된다. 따라서, 도 6과 도 7에 도시된 바와 같이, 광을 수신하는 측의 센서에 의하여 수신되는 광의 양은 감소될 수 있다. 물론, 상술한 바와 같이, 상기 웨이퍼는 수신되는 광의 양을 증가시키는 방향(도 10 및 도 11에 도시된 편향의 방향과는 반대 방향)으로 또한 편향될 수 있다.
이어서, 도 7과 관련하여 언급된 최대로 차단된 광의 양을 검츨하는 방법의 일예를 설명하기로 한다. 상기 센서 출력이 도 8에 도시된 회로에 입력된다. 출력 전압이 감소함에 따라, 전류 방향은 반전되고, 캐패시터(C)는 충전된다. 이어서, 도 7의 우측에 도시된 조건과 상응하는 최대한 차단된 광의 양 이상으로 출력 전압이 증가되는 경우를 고려한다. 이러한 조건에 있어서, 다이오드(D)가 있기 때문에 전하는 역방향으로 흐르지 않고, 이에 따라 캐패시터(C)에 의하여 유지되는 전하량은 광이 최대로 차단되는 시간에서 달성되는 값과 동일하게 유지된다. 다시 말하면, 도 8에 도시된 회로는 일반적인 피크 유지 회로이다. 상기 회로는 단지 예시적이며, 유사한 목적을 구현할 수 있는 회로인 경우에는 제한없이 다른 회로를 사용할 수 있다.
상기 센서 출력 신호들을 디지털 신호들로 변환하고 상기 변환된 신호들을 샘플링하는 상술한 방법들과 비교하여, 도 8에 도시된 것과 유사한 피크 유지 회로를 이용하여 최대한 차단된 상태에 상응하는 출력값만을 유지하는 본 방법은, 필요한 출력값 만을 신뢰성있고 보다 비용 효율적인 방법으로 구할 수 있다.
여기에서, 도 6 및 도 7에 도시된 바와 같이, 웨이퍼 편향을 보정하는 작동들을 개괄하고, 도 9를 참조하여 다시 설명하기로 한다. 본 발명의 일부 실시예들에 있어서, 일반적인 작동들은 하기와 같다:
1) 상기 웨이퍼 핸들링 장치가 회전한 후에, 제1 포토 센서(31)는 상기 X-축 방향의 편향량 a'을 검출한다. 상기 웨이퍼가 또한 상기 X-축 방향에 추가하여 상기 Y-축 방향으로 편향되므로, 제1 포토 센서(31)에 의하여 검출된 위치 P'는 상기 웨이퍼의 가장 앞측 에지를 나타내는 것이 아니라 제1 포토 센서(31)에 의하여 중첩된 상기 웨이퍼의 위치를 나타내므로, 이에 따라 위치 P와 위치 P' 사이의 거리는 상기 X-축 방향의 편향을 직접적으로 제공하지 못한다. 상기 X-축 방향으로의 실제 편향은 상기 편향된 웨이퍼(그 중심은 O'에 위치함)의 가장 앞측 에지 Q와 상기 적절한 위치의 웨이퍼(그 중심은 O에 위치함)의 가장 앞측 에지 P 사이의 간격 a(P'와 P 사이의 거리 및 Q'와 Q 사이의 거리의 합)에 의하여 제공된다. 여기에서, 간격 a는 하기에 설명된 바에 의하여 계산될 수 있다.
2) 장치 제어부의 저장 영역 내에 상기 편향량 a'를 등록한다.
3) 상기 웨이퍼 핸들링 장치가 상기 웨이퍼를 상기 웨이퍼 공정 챔버로 로딩하는 것을 시작한다.
3) 상기 Y축 방향의 편향량 b를 검출하기 위하여 상기 웨이퍼에 의하여 차단된 광의 최대값을 검출하도록 제2 포토 센서(32) 및 상기 피크 유지 회로를 사용한다. (상기 편향은 설명을 위하여 과장되어 있고, 제2 포토 센서(32)는 도 9의 웨이퍼의 하측 에지로부터 편향되어 있고, 상기 웨이퍼는 제2 포토 센서(32) 상에 위치하여 상기 센서에 의하여 수신되는 광을 차단한다.)
4) 상기 장치 제어부의 상기 저장 영역 내에 상기 편향량 b를 등록한다.
5) 상기 저장 영역으로부터 검출된 상기 X-축 방향의 편향량 a'와 검출된 Y-축 방향의 편향량 b를 독출하고, 하기의 식을 기초로 하여 상기 장치 제어부를 이용하여 X-축 방향의 실제 편향량 a를 계산한다. (R은 웨이퍼의 반경임):
a = a' + (R-SQRT(R2 - b2))
6) 상기 웨이퍼 공정 챔버 내의 상기 웨이퍼 핸들링 장치의 아암 축을 사용하여 상기 X-축 방향의 상기 편향량 a를 보정한다.
7) 상기 웨이퍼 공정 챔버 내의 상기 웨이퍼 핸들링 장치의 회전 축을 사용 하여 상기 Y-축 방향의 상기 편향량 b를 보정한다.
8) 상기 웨이퍼 핸들링 장치의 Z-축 작동(하측 방향으로의 작동임)을 통하여 웨이퍼 로딩을 완료한다.
상술한 상기 X-축 방향의 실제 편향량의 계산(수학식 1)은 도 9를 참조하여 더 설명하기로 한다. 도 9에 있어서, 실선은 정확한 웨이퍼 위치를 나타내고, 점선은 편향된 웨이퍼 위치를 나타낸다. 도면에서 상기 점선으로 도시된 웨이퍼 위치는 상기 웨이퍼가 상기 센서 상에 위치하지 않도록 편향되어 있다. 이러한 조건에서는, 편향의 검출이 실제적으로 불가능하다. 여기에서, 상기 조건은 도시적인 설명을 위하여 의도적으로 과장되어 있다.
먼저, 제1 센서(31) 상에 정확하게 위치한 웨이퍼의 X-축 위치 P와 제1 센서(31) 상에 편향되어 위치한 웨이퍼의 X-축 위치 P' 사이의 거리 a'는 상기 X-축 방향으로 검출된 편향량을 제공한다. 이어서, 제2 센서(32) 상에 정확하게 위치한 웨이퍼의 Y-축 위치와 제2 센서(32) 상에 편향되어 위치한 웨이퍼의 Y-축 위치 사이의 거리 b는 상기 Y-축 방향으로 검출된 편향량을 제공한다(상술한 바와 같이, 도 9에서는 상기 편향된 웨이퍼가 상기 제2 센서 상에 위치하지 않으나, 여기에서는 Y-축 위치가 검출된다고 가정한다). 여기에서, 상기 X-축을 따라서 편향된 웨이퍼의 말단의 위치가 Q로 주어지고, 상기 웨이퍼의 X-축 방향의 실제 편향량이 상기 X-축을 따라서, P와 Q 사이의 거리로 주어진다. 거리 a를 구하기 위하여, 지점 P'로부터 연장된 선이 직각으로 상기 X-축을 교차하는 지점 Q'와 상기 편향된 웨이퍼의 중심 O' 사이의 거리 c를 구할 필요가 있다. 그러나, 여기에서 거리 c는 삼 각형 O'P'Q'의 변 O'Q'이다. 따라서, 변 O'P'는 상기 웨이퍼의 반경 R을 나타내고(상기 웨이퍼의 크기가 300 mm이면, 반경 R은 150 mm이다), 반면, 변 P'Q'는 상기 Y-축 방향의 편향량 b를 나타낸다. 상기 변 P'Q'과 상기 변 O'Q'에 의하여 형성된 각도가 90도이므로, 상기 변 O'Q' 또는 거리 c는 피타고라스 정리를 이용하여 얻을 수 있다. 보다 상세하게는, 상기 피타고라스 정리는 하기의 관계를 제공한다:
(O'Q')2 + (P'Q')2 = (O'P')2
O'Q' = c, P'Q' = b, 및 O'P' = R이므로, 하기의 관계가 타당하다.
c= SQRT(R2 - b2)
상기 수학식 3에서 SQRT는 제곱근(square root)을 의미한다.
이제, c를 얻으면, 도 9로부터 하기의 관계가 사용될 수 있다.
a = a' + (R - c)
결과적으로, 하기의 관계가 타당하다.
a = a' + (R - SQRT(R2 - b2))
결과적으로 수학식 1이 유도된다.
본 발명에 따라, 상술한 웨이퍼 편향을 검출하고 보정하기 위하여, 상기 웨이퍼 핸들링 장치는 상기 핸들링 장치에 부착된 웨이퍼 클램퍼(wafer clamper)를 가지거나 또는 가지지 않을 수 있다. 도 13에 도시된 바와 같이, 웨이퍼 클램퍼(131)가 상기 핸들링 장치에 부착된 경우에 있어서, 상기 웨이퍼로부터 방출되는 열에 기인한 상기 웨이퍼 핸들링 장치의 아암의 열팽창되어 발생하는 상기 아암이 연장되는 방향으로의 웨이퍼 편향을 검출하고 보정하기 위하여 본 방법이 사용될 수 있다.
상술한 바에 따라서, 본 발명의 실시예는 상기 웨이퍼 편향을 검출할 수 있고 상기 웨이퍼 위치를 보정할 수 있는 반도체 제조 장치를 제공하고, 상기 장치는, 특히 상기 웨이퍼 핸들링 장치 상의 상기 웨이퍼의 편향을 검출할 수 있고 보정할 수 있다. 피크 유지 회로를 포토 센서에 연결함으로써, 웨이퍼 편향을 검출 하고 보정하는 기능을 비용 효율적인 방법으로 구현할 수 있다.
본 발명은 상술한 실시예들 및 하기의 실시예를 포함하는 다른 다양한 실시예들을 포함한다:
1) 반도체 제조 장치는 반도체 웨이퍼 핸들링 챔버, 반도체 웨이퍼 공정 챔버 및 반도체 웨이퍼 핸들링 장치를 포함한다. 상기 반도체 제조 장치에 있어서, 상기 반도체 웨이퍼 핸들링 챔버는 특정 위치들에 적어도 두 개의 포토 센서들을 포함한다. 상기 반도체 웨이퍼 핸들링 장치에 웨이퍼가 위치되면, 상기 웨이퍼는 제1 포토 센서에 의하여 수신되는 광을 차단하고, 상기 웨이퍼 핸들링 장치가 상기 웨이퍼를 상기 반도체 웨이퍼 공정 챔버에 로딩할 때에, 상기 웨이퍼는 제2 포토 센서에 의하여 수신되는 광을 차단하고, 또한 상기 제2 포토 센서는 특정한 기간동안 최대로 차단된 광의 양을 저장할 수 있는 전기 회로에 연결된다. 이에 따라, 상기 웨이퍼 핸들링 장치 내에 상기 웨이퍼가 정확한 위치에 위치하고 있는지 여부를 검출하고, 상기 포토 센서들의 상기 차단된 광의 양으로부터 정확한 웨이퍼 위치를 계산하기 위하여, 상기 제1 포토 센서의 상기 차단된 광의 양과 상기 제2 포토 센서의 상기 최대로 차단된 광의 양을 정확한 웨이퍼 위치에 대하여 미리 등록되어 있는 차단된 광의 양에 대하여 비교한다. 그 결과로, 상기 반도체 웨이퍼 핸들링 장치는 상기 반도체 공정 장치 내의 계산된 정확한 위치에 상기 웨이퍼를 로딩할 수 있다.
2) 상기 1)에 따른 반도체 제조 장치에 있어서, 전기 회로가 피크 유지 회로이다.
3) 상기 1)에 따른 반도체 제조 장치에 있어서, 상기 웨이퍼가 정확한 위치에 위치하는 지 여부를 검출할 수 있는 제1 포토 센서는 센서를의 갯수를 감소시킬 다른 목적으로서 포토 센서로서 또한 기능할 수 있고, 이에 따라 비용 효율적인 방법으로 상기 장치를 구현할 수 있다.
본 발명의 기술적 사상을 벗어남이 없이, 수 많은 다양한 변형들이 가능함은 본 기술 분야의 당업자가 이해할 수 있다. 따라서, 본 발명의 형태들은 단지 예시적이며, 본 발명의 범위를 한정하는 것이 아님을 명확하게 이해할 수 있다.
본 발명의 상술한 측면들 및 다른 측면들은 바람직한 실시예들의 도면들을 참조하여 설명된다. 그러나 이들은 예시적이며, 본 발명을 한정하는 것은 아니다. 도면들은 설명을 목적으로 매우 단순화되어 도시되어 있고, 정확한 치수로 도시된 것은 아니다.
도 1은 본 발명의 일부 실시예들에서 사용가능한 반도체 공정 장치의 개략도이다. 상기 반도체 공정 장치는, 네 개의 웨이퍼 공정 챔버들, 두 개의 웨이퍼 입력/출력 챔버들, 두 개의 로보트 아암들, 및 하나의 웨이퍼-핸들링 챔버를 포함한다.
도 2는 본 발명의 일부 실시예들에서 사용가능한 웨이퍼 핸들링 장치의 개략도이다.
도 3은 본 발명의 일부 실시예들에 따라, 상기 웨이퍼가 제1 포토 센서를 가리는 바로 로드할 수 있는 위치에서 웨이퍼 핸들링 장치 상에 위치한 웨이퍼를 도시하는 개략적인 도면이다.
도 4는 본 발명의 일부 실시예들에 따라, 웨이퍼 핸들링 챔버 내의 포토 센서들의 위치들을 도시하는 개략적인 도면이다.
도 5는 본 발명의 일부 실시예들에 따라, 포토 센서를 가리는 웨이퍼를 도시하는 개략적인 도면이다.
도 6은 본 발명의 일부 실시예들에 따라, 웨이퍼 핸들링 장치의 이동과 제1 포토 센서로부터의 출력 사이의 관계를 도시하는 개략적인 도면이다.
도 7은 본 발명의 일부 실시예들에 따라, 웨이퍼 핸들링 장치의 이동과 제2 포토 센서로부터의 출력 사이의 관계를 도시하는 개략적인 도면이다.
도 8은 본 발명의 일부 실시예들에 따라, 제2 포토 센서에서 사용된 피크 유지 회로를 도시하는 개략적인 도면이다.
도 9는 본 발명의 일부 실시예들에 따라, 기준 위치에서 오정렬된 웨이퍼와 정렬된 웨이퍼의 센서 출력을 기초로 하여 X-축 상의 편향을 계산하는 방법을 도시하는 개략적인 도면이다.
도 10은 본 발명의 일부 실시예들에 따라, 제1 포토 센서를 가리는 오정렬된 웨이퍼를 도시하는 개략적인 도면이다.
도 11은 본 발명의 일부 실시예들에 따라, 제2 포토 센서를 가리는 오정렬된 웨이퍼를 도시하는 개략적인 도면이다.
도 12a 및 도 12b는 본 발명의 일부 실시예들에 따라, 제1 포토 센서와 제2 포토 센서를 가리는 웨이퍼를 도시하는 개략적인 도면이다.
도 13은 본 발명의 일부 실시예들에 따라, 웨이퍼 클램퍼를 가지는 말단 작동기를 도시하는 개략적인 도면이다.

Claims (26)

  1. 웨이퍼 핸들링 챔버;
    상기 웨이퍼 핸들링 챔버와 각각 연결된 적어도 하나의 웨이퍼 공정 챔버;
    웨이퍼 공정 챔버 내에 웨이퍼를 로딩하기 위하여, 상기 웨이퍼 핸들링 챔버 내에 위치한 웨이퍼 핸들링 장치;
    상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 적어도 하나의 제1 포토 센서(photosensor); 및
    상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 적어도 하나의 제2 포토 센서;
    를 포함하고,
    상기 웨이퍼 핸들링 장치는 자신 위에 웨이퍼를 위치시키기 위한 말단 작동기(end effector)를 포함하고,
    상기 말단 작동기는 바로 로드할 수 있는 위치(ready-to-load position)로부터, 웨이퍼 로딩 방향인 X-축 방향 및 웨이퍼 로딩 평면 상의 상기 X-축 방향에 대하여 수직인 Y-축 방향으로 상기 웨이퍼 공정 챔버로 이동가능하고,
    상기 적어도 하나의 제1 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제1 포토 센서에 의하여 수신되는 광을 실질적으로 전부 차단하는 위치이고,
    상기 적어도 하나의 제2 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제2 포토 센서에 의하여 수신되는 광을 차단하지 않고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 수신되는 광을 부분적으로 차단하는 위치인 것을 특징으로 하는 반도체 공정 장치.
  2. 제 1 항에 있어서,
    상기 제1 포토 센서는, 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 감지된 광의 양을 표시하는 제1 신호를 출력하기에 적합하고,
    상기 제2 포토 센서는, 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 감지된 광의 최소량을 표시하는 제2 신호를 출력하기에 적합한 것을 특징으로 하는 반도체 공정 장치.
  3. 제 2 항에 있어서,
    상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 X-축 방향 또는 상기 Y-축 방향으로 편향(deviation)이 없음을 표시하는 표준 제1 신호 및 표준 제2 신호를 저장하는 제어부를 더 포함하고,
    상기 제어부는 상기 제1 포토 센서 및 상기 제2 포토 센서로부터의 상기 제1 신호 및 상기 제2 신호를 수신하고, 상기 X-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향 및 상기 Y-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향을 결정하기 위하여, 상기 제1 신호 및 상기 제2 신호를 상기 표준 제1 신호 및 상기 표준 제2 신호와 비교하는 것을 특징으로 하는 반도체 공정 장치.
  4. 제 3 항에 있어서,
    상기 제어부는, 상기 웨이퍼의 결정된 편향에 기초하여 상기 웨이퍼 핸들링 장치를 제어하고, 상기 편향들을 보상하여 상기 웨이퍼 공정 챔버로 상기 말단 작동기를 이동시키는 것을 특징으로 하는 반도체 공정 장치.
  5. 제 1 항에 있어서,
    상기 제1 포토 센서는, 상기 말단 작동기의 상측에서 보았을 때, 상기 웨이퍼 핸들링 장치의 축으로부터 상기 웨이퍼 공정 챔버의 중심까지 이어진 X-축에 정렬되어 위치하는 것을 특징으로 하는 반도체 공정 장치.
  6. 제 5 항에 있어서,
    상기 제1 포토 센서 및 상기 제2 포토 센서는 상기 웨이퍼 공정 챔버에 인접하여 위치하는 것을 특징으로 하는 반도체 공정 장치.
  7. 제 1 항에 있어서,
    상기 제1 포토 센서는 상기 X-축 방향으로 연장된 형상을 가지는 것을 특징으로 하는 반도체 공정 장치.
  8. 제 1 항에 있어서,
    상기 제2 포토 센서는 상기 Y-축 방향으로 연장된 형상을 가지는 것을 특징으로 하는 반도체 공정 장치.
  9. 제 3 항에 있어서,
    상기 제어부는 상기 제2 포토 센서로부터 출력된 상기 제2 신호를 임시적으로 유지하기 위한 피크 유지 회로(peak hold circuit)를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  10. 제 1 항에 있어서,
    상기 제1 포토 센서는 상기 웨이퍼 공정 챔버의 앞측에서 장애물을 검출하기 위한 센서로서 또한 기능하는 것을 특징으로 하는 반도체 공정 장치.
  11. 제 1 항에 있어서,
    상기 웨이퍼 핸들링 장치는, 상기 바로 로드할 수 있는 위치에 상기 말단 작동기를 위치시키기 위하여, 상기 웨이퍼 핸들링 장치의 축 둘레로 상기 말단 작동 기를 회전시키기에 적합한 것을 특징으로 하는 반도체 공정 장치.
  12. 제 1 항에 있어서,
    상기 적어도 하나의 웨이퍼 공정 챔버는, 상기 웨이퍼 핸들링 챔버 주위에 위치한 둘 또는 그 이상의 웨이퍼 공정 챔버들을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  13. 제 12 항에 있어서,
    상기 웨이퍼 핸들링 장치는, 말단 작동기를 각각 가지는 이중 아암들(double arms)을 포함하는 것을 특징으로 하는 반도체 공정 장치.
  14. 제 1 항에 있어서,
    상기 웨이퍼 핸들링 장치는, 상기 웨이퍼를 클램핑하는 웨이퍼 클램퍼(wafer clamper)를 더 포함하는 것을 특징으로 하는 반도체 공정 장치.
  15. 제 1 항에 있어서,
    상기 제1 포토 센서 및 상기 제2 포토 센서 각각은, 상기 웨이퍼 핸들링 장치의 축 방향으로 상기 말단 작동기의 하측에 위치한 발광 부재(light emitter) 및 상기 축 방향으로 상기 말단 작동기의 상측에 위치한 광 센서를 포함하는 것을 특징으로 하는 반도체 공정 장치.
  16. 제 2 항에 있어서,
    상기 제1 신호 및 상기 제2 신호는 아날로그 신호들인 것을 특징으로 하는 반도체 공정 장치.
  17. 제 15 항에 있어서,
    상기 광 센서는 CCD 요소에 의하여 구성된 것을 특징으로 하는 반도체 공정 장치.
  18. 웨이퍼를 웨이퍼 핸들링 챔버와 연결된 웨이퍼 공정 챔버로 이송하는 방법으로서,
    (i) 상기 웨이퍼 핸들링 챔버 내에 위치한 웨이퍼 핸들링 장치의 말단 작동기 상에 상기 웨이퍼를 위치하는 단계;
    (ii) 상기 웨이퍼와 함께 상기 말단 작동기를 바로 로드할 수 있는 위치로 이동하는 단계;
    (iii) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 제1 포토 센서에 의하여, 웨이퍼 로딩 방향인 X-축 방향으로 상기 말단 작동기 상의 기준 위치로부터 상기 웨이퍼의 편향을 검출하는 단계;
    (iv) 상기 웨이퍼와 함께 상기 말단 작동기를 상기 X-축 방향으로 바로 로드할 수 있는 위치로부터 상기 웨이퍼 공정 챔버로 이동하는 단계;
    (v) 상기 웨이퍼 공정 챔버의 앞측에서 상기 웨이퍼 핸들링 챔버 내에 위치한 제2 포토 센서에 의하여, 웨이퍼 로딩 평면 상의 상기 X-축 방향에 대하여 수직인 Y-축 방향으로 상기 말단 작동기 상의 기준 위치로부터 상기 웨이퍼의 편향을 검출하는 단계; 및
    (vi) 상기 웨이퍼 공정 챔버 내에 상기 웨이퍼를 로딩할 때에, 상기 X-축 방향 및 상기 Y-축 방향의 상기 검출된 편향들을 기초로 하여 상기 말단 작동기의 이동을 조정하는 단계;
    를 포함하고,
    상기 단계 (iii)에서의, 상기 제1 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 수신되는 광을 부분적으로 차단하고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제1 포토 센서에 의하여 수신되는 광을 실질적으로 전부 차단하는 위치이고,
    상기 단계 (v)에서의, 상기 제2 포토 센서의 위치는, 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치에서 상기 제2 포토 센서에 의하여 수신되는 광을 차단하지 않고, 또한 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 X-축 방향으로 상기 웨이퍼 공정 챔버를 향하여 이동할 때에, 상기 제2 포토 센서에 의하여 수신되는 광을 부분적으로 차단하는 위치인 것을 특징으로 하는 웨이퍼 이송 방법.
  19. 제 18 항에 있어서,
    상기 단계 (iii)에서, 상기 제1 포토 센서는, 상기 바로 로드할 수 있는 위치에서 상기 제1 포토 센서에 의하여 감지된 광의 양을 표시하는 제1 신호를 출력하고,
    상기 단계 (v)에서, 상기 제2 포토 센서는, 상기 웨이퍼가 상기 바로 로드할 수 있는 위치로부터 상기 웨이퍼 공정 챔버로 이동할 때에, 상기 제2 포토 센서에 의하여 감지된 광의 최소량을 표시하는 제2 신호를 출력하는 것을 특징으로 하는 웨이퍼 이송 방법.
  20. 제 19 항에 있어서,
    상기 단계 (vi)은:
    상기 제1 포토 센서 및 상기 제2 포토 센서로부터의 상기 제1 신호 및 상기 제2 신호를 수신하는 단계; 및
    상기 X-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향 및 상기 Y-축 방향으로의 상기 말단 작동기 상의 상기 웨이퍼의 편향을 결정하기 위하여, 상기 제1 신호 및 상기 제2 신호를 표준 제1 신호 및 표준 제2 신호와 비교하는 단계;
    를 포함하고,
    상기 표준 제1 신호 및 상기 표준 제2 신호는 상기 말단 작동기 상에 위치한 상기 웨이퍼가 상기 X-축 방향 또는 상기 Y-축 방향으로 편향이 없음을 표시하는 것을 특징으로 하는 웨이퍼 이송 방법.
  21. 제 20 항에 있어서,
    상기 단계 (vi)은, 상기 웨이퍼의 결정된 편향에 기초하여 상기 웨이퍼 핸들링 장치를 제어하고, 상기 편향들을 보상하여 상기 웨이퍼 공정 챔버로 상기 말단 작동기를 이동시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 이송 방법.
  22. 제 18 항에 있어서,
    상기 단계 (iii)에서,
    X-축 방향에서의 상기 편향은 상기 말단 작동기의 상측에서 보았을 때, 상기 웨이퍼 핸들링 장치의 축으로부터 상기 웨이퍼 공정 챔버의 중심까지 이어진 X-축에서 측정되는 것을 특징으로 하는 웨이퍼 이송 방법.
  23. 제 22 항에 있어서,
    상기 제1 포토 센서 및 상기 제2 포토 센서는 상기 웨이퍼 공정 챔버에 인접하여 위치하는 것을 특징으로 하는 웨이퍼 이송 방법.
  24. 제 20 항에 있어서,
    상기 편향은, 상기 제2 포토 센서로부터 출력된 상기 제2 신호를 임시적으로 유지하기 위한 피크 유지 회로에 의하여 측정되는 것을 특징으로 하는 웨이퍼 이송 방법.
  25. 제 18 항에 있어서,
    상기 단계 (ii)는, 상기 바로 로드할 수 있는 위치에 상기 말단 작동기를 위치시키기 위하여, 상기 웨이퍼 핸들링 장치의 축 둘레로 상기 말단 작동기를 회전시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 이송 방법.
  26. 제 19 항에 있어서,
    상기 단계 (iii)과 상기 단계 (v)는 상기 제1 신호 및 상기 제2 신호로서 아날로그 신호들을 사용하는 것을 특징으로 하는 웨이퍼 이송 방법.
KR1020090023548A 2008-04-03 2009-03-19 웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치 KR101666613B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/062,419 US7963736B2 (en) 2008-04-03 2008-04-03 Wafer processing apparatus with wafer alignment device
US12/062,419 2008-04-03

Publications (2)

Publication Number Publication Date
KR20090105819A KR20090105819A (ko) 2009-10-07
KR101666613B1 true KR101666613B1 (ko) 2016-10-24

Family

ID=41133436

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090023548A KR101666613B1 (ko) 2008-04-03 2009-03-19 웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치

Country Status (4)

Country Link
US (1) US7963736B2 (ko)
JP (1) JP5258660B2 (ko)
KR (1) KR101666613B1 (ko)
CN (1) CN101552219B (ko)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US8120376B2 (en) 2007-12-12 2012-02-21 Novellus Systems, Inc. Fault detection apparatuses and methods for fault detection of semiconductor processing tools
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
JP5185054B2 (ja) * 2008-10-10 2013-04-17 東京エレクトロン株式会社 基板搬送方法、制御プログラム及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5572575B2 (ja) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
KR101131686B1 (ko) * 2011-02-07 2012-03-28 주식회사 다우테크 발광다이오드 이송장치
JP5243569B2 (ja) * 2011-03-07 2013-07-24 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
US8489225B2 (en) * 2011-03-08 2013-07-16 International Business Machines Corporation Wafer alignment system with optical coherence tomography
JP5639958B2 (ja) * 2011-05-27 2014-12-10 日東電工株式会社 半導体ウエハマウント方法および半導体ウエハマウント装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
KR20130009700A (ko) * 2011-07-15 2013-01-23 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 처리 시스템, 기판 반송 방법, 및 기억 매체
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102403253B (zh) * 2011-11-04 2013-08-21 中微半导体设备(上海)有限公司 一种利用复合传输路径来实现硅片传输的方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102969255A (zh) * 2012-11-01 2013-03-13 上海集成电路研发中心有限公司 一种静态扫描获取硅片位置信息的系统及方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9196518B1 (en) 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016077387A1 (en) * 2014-11-10 2016-05-19 Brooks Automation, Inc. Tool auto-teach method and apparatus
KR102469258B1 (ko) 2014-11-18 2022-11-22 퍼시몬 테크놀로지스 코포레이션 엔드 이펙터 위치 추정을 위한 로봇의 적응형 배치 시스템
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101817209B1 (ko) * 2016-06-24 2018-02-22 세메스 주식회사 기판 처리 장치 및 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN107644820B (zh) * 2016-07-21 2020-10-13 北京北方华创微电子装备有限公司 晶片偏移检测方法及装置、半导体加工设备
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102181121B1 (ko) * 2016-09-20 2020-11-20 주식회사 원익아이피에스 기판 이송 장치 및 기판 이송 장치의 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6842934B2 (ja) * 2017-01-27 2021-03-17 株式会社Screenホールディングス 基板搬送装置、検出位置較正方法および基板処理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN112004645A (zh) * 2017-12-19 2020-11-27 卡内基梅隆大学 智能清洁机器人
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
TWI794530B (zh) * 2018-07-20 2023-03-01 美商應用材料股份有限公司 基板定位設備及方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10636693B2 (en) * 2018-09-11 2020-04-28 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer device and control method therefor
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102411115B1 (ko) * 2018-12-10 2022-06-20 주식회사 원익아이피에스 기판처리시스템 및 이를 이용한 기판처리방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR102020533B1 (ko) 2019-02-22 2019-09-10 임진희 엔드 이펙터 측정모듈 및 이를 이용한 엔드 이펙터 모니터링 장치
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102235042B1 (ko) * 2020-06-16 2021-03-31 에스케이씨솔믹스 주식회사 엔드 이펙터 변위 측정 방법 및 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113675123B (zh) * 2021-07-29 2024-01-05 长鑫存储技术有限公司 一种晶圆校准装置、方法及系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114179002A (zh) * 2021-11-02 2022-03-15 华虹半导体(无锡)有限公司 晶片自动定位装置及晶片自动定位方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264214A (ja) 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP2006351884A (ja) 2005-06-16 2006-12-28 Tokyo Electron Ltd 基板搬送機構及び処理システム
JP2007116014A (ja) 2005-10-24 2007-05-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2007276113A (ja) 2007-07-24 2007-10-25 Canon Anelva Corp ウェハ位置ずれ検出装置およびウェハ位置ずれ検出方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3907439A (en) 1973-08-14 1975-09-23 Zygo Corp Edge-sensing with a scanning laser beam
US3945505A (en) 1974-07-08 1976-03-23 Motorola, Inc. Indexing apparatus
US4148344A (en) 1975-10-20 1979-04-10 The Pack River Company Portable sawmill
US4024944A (en) 1975-12-24 1977-05-24 Texas Instruments Incorporated Semiconductor slice prealignment system
DE2652187C2 (de) 1976-11-16 1986-12-04 Emag Maschinenfabrik Gmbh, 7335 Salach Transportvorrichtung
US4201378A (en) 1978-05-16 1980-05-06 Bell & Howell Company Skew detector
US4228886A (en) 1978-12-26 1980-10-21 Ppg Industries, Inc. Position sensor
JPS5855270A (ja) 1981-09-30 1983-04-01 Hitachi Ltd インサ−タプリンタの書式制御方式
GB2109923B (en) * 1981-11-13 1985-05-22 De La Rue Syst Optical scanner
US4457664A (en) 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
US4466073A (en) 1982-04-26 1984-08-14 The Perkin Elmer Corporation Wafer prealigner using pulsed vacuum spinners
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4507078A (en) 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US4523985A (en) 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
US4907035A (en) 1984-03-30 1990-03-06 The Perkin-Elmer Corporation Universal edged-based wafer alignment apparatus
US4635373A (en) 1984-09-07 1987-01-13 Canon Kabushiki Kaisha Wafer conveying apparatus with alignment mechanism
US4698511A (en) 1984-11-08 1987-10-06 Canon Kabushiki Kaisha Document sheet size or position recognition device
JPH0619670B2 (ja) 1984-12-17 1994-03-16 株式会社デイスコ 自動精密位置合せシステム
JPS61184842A (ja) 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め装置
JPS61228639A (ja) 1985-04-03 1986-10-11 Canon Inc ウエハ処理装置
US4818169A (en) 1985-05-17 1989-04-04 Schram Richard R Automated wafer inspection system
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
JPS6273643A (ja) 1985-09-26 1987-04-04 Ando Electric Co Ltd 移動台上のウエハの位置合わせ機構
JPS6187352A (ja) 1985-09-27 1986-05-02 Hitachi Ltd オリエンテーシヨンフラツトの位置決め装置
US5162642A (en) 1985-11-18 1992-11-10 Canon Kabushiki Kaisha Device for detecting the position of a surface
US4765793A (en) 1986-02-03 1988-08-23 Proconics International, Inc. Apparatus for aligning circular objects
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4744713A (en) 1986-05-21 1988-05-17 Texas Instruments Incorporated Misalignment sensor for a wafer feeder assembly
GB8705368D0 (en) 1987-03-07 1987-04-08 Britax Ltd Hydraulic manual control unit
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4833790A (en) 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
US4880348A (en) 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
JPH0620097B2 (ja) 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
US4838733A (en) 1988-12-05 1989-06-13 Katz Albert A Landfill compaction
US5044752A (en) 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
EP0597637B1 (en) 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
US5600258A (en) * 1993-09-15 1997-02-04 Intest Corporation Method and apparatus for automated docking of a test head to a device handler
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
JP3200326B2 (ja) * 1995-04-24 2001-08-20 東京応化工業株式会社 円板状被処理物の移載方法及び移載装置
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5870488A (en) * 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US5706201A (en) * 1996-05-07 1998-01-06 Fortrend Engineering Corporation Software to determine the position of the center of a wafer
US5788125A (en) * 1996-06-10 1998-08-04 Steiner; Edward H. Sip and spray fluid container assembly
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
WO1999052686A1 (en) 1998-04-16 1999-10-21 Genmark Automation, Inc. Substrate prealigner
GB2349204B (en) * 1999-04-19 2004-03-03 Applied Materials Inc A method of detecting the position of a wafer
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6856863B1 (en) * 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
KR100396010B1 (ko) * 2000-08-02 2003-08-27 샤프 가부시키가이샤 캐리어 검출 회로 및 적외선 리모콘 수신기
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
JP4005401B2 (ja) * 2002-04-19 2007-11-07 富士通株式会社 増幅回路及び光通信装置
US7572092B2 (en) * 2002-10-07 2009-08-11 Brooks Automation, Inc. Substrate alignment system
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
JP4450664B2 (ja) * 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7319920B2 (en) * 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
JP2007072162A (ja) * 2005-09-07 2007-03-22 Mitsubishi Electric Corp 表示装置
JP4522360B2 (ja) * 2005-12-02 2010-08-11 日東電工株式会社 半導体ウエハの位置決定方法およびこれを用いた装置
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US20100003833A1 (en) * 2008-07-01 2010-01-07 Asm Japan K.K. Method of forming fluorine-containing dielectric film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264214A (ja) 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP2006351884A (ja) 2005-06-16 2006-12-28 Tokyo Electron Ltd 基板搬送機構及び処理システム
JP2007116014A (ja) 2005-10-24 2007-05-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2007276113A (ja) 2007-07-24 2007-10-25 Canon Anelva Corp ウェハ位置ずれ検出装置およびウェハ位置ずれ検出方法

Also Published As

Publication number Publication date
CN101552219A (zh) 2009-10-07
KR20090105819A (ko) 2009-10-07
JP5258660B2 (ja) 2013-08-07
JP2009253286A (ja) 2009-10-29
US20090252580A1 (en) 2009-10-08
CN101552219B (zh) 2012-12-05
US7963736B2 (en) 2011-06-21

Similar Documents

Publication Publication Date Title
KR101666613B1 (ko) 웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치
US7008802B2 (en) Method and apparatus to correct water drift
US7248931B2 (en) Semiconductor wafer position shift measurement and correction
US8224607B2 (en) Method and apparatus for robot calibrations with a calibrating device
US6166509A (en) Detection system for substrate clamp
US8781787B2 (en) Substrate carrying mechanism, substrate carrying method and recording medium storing program including set of instructions to be executed to accomplish the substrate carrying method
US9111979B2 (en) System and method for real time positioning of a substrate in a vacuum processing system
US7813542B2 (en) Wafer aligning apparatus and related method
US8600150B2 (en) Wafer aligning apparatus and related method
US20050281661A1 (en) End effector with force controlling mechanism
WO2007008939A2 (en) Apparatus with on-the-fly workpiece centering
US20220028714A1 (en) Enhanced automatic wafer centering system and techniques for same
JPH1064971A (ja) ウェハの位置の誤り検出及び修正装置、及びその方法
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
JPH11254359A (ja) 部材搬送システム
JPH10223732A (ja) 位置ずれ検出装置およびその方法
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
CN111095518A (zh) 基板搬运装置以及求出基板搬运机器人与基板载置部的位置关系的方法
JP2005093807A (ja) 半導体製造装置
IL256289A (en) Alignment for surface alignment
CN213936147U (zh) 机械手臂
JP2009184069A (ja) ウエハ搬送装置及びその調整方法
US11413767B2 (en) Sensor-based position and orientation feedback of robot end effector with respect to destination chamber
US20200262088A1 (en) Radar Based Position Measurement For Robot Systems
JP2845709B2 (ja) 板状体の非接触位置決め装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190917

Year of fee payment: 4