CN101552219A - 具有晶片对准装置的晶片处理设备 - Google Patents

具有晶片对准装置的晶片处理设备 Download PDF

Info

Publication number
CN101552219A
CN101552219A CNA2009100080240A CN200910008024A CN101552219A CN 101552219 A CN101552219 A CN 101552219A CN A2009100080240 A CNA2009100080240 A CN A2009100080240A CN 200910008024 A CN200910008024 A CN 200910008024A CN 101552219 A CN101552219 A CN 101552219A
Authority
CN
China
Prior art keywords
wafer
photoelectric sensor
executive component
loaded
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100080240A
Other languages
English (en)
Other versions
CN101552219B (zh
Inventor
泷泽正浩
诹访田雅荣
赤川真佐之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN101552219A publication Critical patent/CN101552219A/zh
Application granted granted Critical
Publication of CN101552219B publication Critical patent/CN101552219B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40562Position and orientation of end effector, teach probe, track them
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Abstract

一种半导体处理设备包括:晶片传送室;晶片处理室;晶片传送装置;在晶片传送室内、晶片处理室前的一个位置设置的第一光电传感器,在所述位置,位于准备装载位置的晶片部分地阻挡由第一光电传感器接收的光,并且当晶片在X轴方向从所述准备装载位置朝向晶片处理室移动时,所述晶片基本完全地阻挡由第一光电传感器接收的光;以及在晶片传送室内、晶片处理室前的一个位置放置的第二光电传感器,在所述位置,位于准备装载位置的晶片不阻挡由第二光电传感器接收的光,并且当晶片在X轴方向从准备装载位置朝向晶片处理室移动时,所述晶片部分阻挡由第二光电传感器接收的光。

Description

具有晶片对准装置的晶片处理设备
技术领域
【0001】本发明大体涉及设置有晶片对准装置的晶片处理设备,并且具体地,涉及修正其上晶片的偏离的晶片传送装置。
背景技术
【0002】近年来,单位时间处理的晶片数量(=生产能力)已成为与在半导体生产中使用的半导体制造设备相联系的热切关注的事情。为了提高生产能力,已在半导体制造设备上试验过各种办法,例如增加晶片处理室的数量,设置晶片传送装置的多个臂,以及提高晶片传送装置的晶片装载速度。
【0003】然而,一个主要问题仍然没有解决,那就是由更高晶片装载速度所导致的晶片传送装置上的晶片偏离。具体而言,当晶片被放置在偏离晶片处理室内的指定位置时,诸如薄膜均匀性降低等的各种处理问题将会出现。而且,在将晶片送回晶片存储室的过程中,晶片可能掉进晶片存储室,或者晶片可能碰到晶片存储室而被损坏。
【0004】解决晶片偏离这种问题的一般公知的方法是在一个或多个晶片装载目的地内向前的一个或数个位置放置一个或者多个光电传感器以测量当晶片传送装置将晶片装载到目的地时,由光电传感器接收的光被阻挡时的时段,或者来自传送装置的编码器的脉冲数量,并且为了检测晶片位置的偏离且校正晶片位置,将测量值与对应正确晶片位置的预记录值进行比较。
【0005】以上说明的方法也采用了输出信号的数字采样,当晶片传送装置运转时,对输出信号进行数字采样是用于检测传感器输出的一般方法。具体地,所述输出信号的数字采样方法是信号值按时间顺序被转换成数字值并且所转变的数字数据序列被用于获得最大或者最小值的方法。近年来,数字采样与数据分析利用诸如EES(设备工程系统)系统而进行。
【0006】然而,当使用这样的采样方法时,难于掌控高速运转的晶片传送装置的高速运转。在100ms的采样频率下(所述频率是EES使用的大体采样频率),实际上不可能跟随晶片传送装置的臂的操作。如果为解决此问题而使晶片传送装置的运转操作速度下降,则半导体制造设备的生产能力将降低。另一方面,如果提高采样频率,则数据量将增加并且存储数据的存储装置将成为必需品。这将不可避免地导致更高成本。
【0007】而且,在晶片传送装置的臂的延伸方向的偏离仅从传感器的输出无法检测。为了检测在臂的延伸方向的偏离,传送装置控制器必须具有专门设计的内置式晶片偏离检测部件,以监控晶片传送装置自身的操作以及在传感器接收的光被阻挡期间,对来自传送装置的编码器的脉冲进行计数。使用这样的检测部件将出现诸如检测准确度以及成本增加的问题。
发明内容
【0008】根据旨在解决以上提及的至少一个问题的本发明实施例,一种半导体制造装置具有定位在晶片传送装置上放置的晶片的前向或侧向的光电传感器,在该位置,当晶片传送装置静止时,来自前向光电传感器的输出信号被检测,并且在晶片传送装置将晶片装载到处理室过程中,来自侧向光电传感器的输出信号在晶片经过所述侧向传感器时被检测,并且两个检测到的输出信号与对应于正确晶片位置的预记录信号进行比较以计算晶片偏离量并校正偏离量,因此使晶片被装载到处理室内的正确位置。
【0009】在本发明的一个实施例中,在晶片的侧向定位的光电传感器被连接到峰值保持电路,该峰值保持电路能存储由所述传感器接收、被晶片阻挡的最大光量。这样,晶片传送装置的速度无须被降低并且也无需对光电传感器输出信号进行高速采样或者对从传送装置来的脉冲进行计数。此外,也不再需要在传送装置控制器内设置专用的内置式晶片偏离检测部件。当以上所有有利之处合在一起,以成本经济的方式提供检测与校正晶片偏离的功能成为可行。
【0010】出于概括本发明各方面以及所获得的优于相关技术优点的目的,本发明的确定目的与优点有利之处在此份公开中说明。当然,也要理解,不是所有这些目的和优点都应在本发明的任何具体实施例中实现。因此,例如,所属技术领域的人员将认识到本发明可以以下面的方式实施或实现,即获得或优化在此教导的一个或一组优点而不必获得在此说明或建议的其它目的或优点。
【0011】从下面的优选实施例的详细描述,本发明的另外的方面、特征与优点将变得显而易见。
附图说明
【0012】现在将参照优选实施例的附图本,描述发明的这些与其它特征,这些优选实施例旨在说明而不是限制本发明。出于说明的目的,附图被高度简化并且未按比例绘制。
【0013】图1是半导体处理设备的示意图,该半导体处理设备包括四个晶片处理室、两个晶片进出室、两个机器臂或机器人臂,以及在本发明的实施例中可用的一个晶片传送室。
【0014】图2是本发明实施例中可用的晶片传送装置的示意图。
【0015】图3是示出根据本发明的实施例在晶片传送装置上、在准备装载位置处放置的晶片的示意图,在该准备装载位置,晶片遮挡住了第一光电传感器。
【0016】图4是示出根据本发明的实施例在晶片传送室内的光电传感器的位置的示意图。
【0017】图5是示出根据本发明的实施例晶片遮挡住光电传感器的示意图。
【0018】图6是示出根据本发明的实施例晶片传送装置的移动与来自第一光电传感器的输出之间的关系的示意图。
【0019】图7是示出根据本发明的实施例晶片传送装置的移动与来自第二光电传感器的输出之间的关系的示意图。
【0020】图8是示出根据本发明的实施例在第二光电传感器中使用的峰值保持电路的示意图。
【0021】图9是示出根据本发明的实施例基于在标准位置没有对准的晶片与对准的晶片的传感器输出,而计算在x轴上的偏离的方法的示意图。
【0022】图10是示出根据本发明的实施例遮挡住第一光电传感器的未对准晶片的示意图。
【0023】图11是示出根据本发明的实施例遮挡住第二光电传感器的未对准晶片的示意图。
【0024】图12A和12B是示出根据本发明的实施例遮挡住第一与第二光电传感器的晶片的示意图。
【0025】图13是示出根据本发明的实施例安装有晶片夹具的末端操作元件的示意图。
具体实施方式
【0026】本发明将参照优选实施例和附图进行说明。所述优选实施例与附图无意限制本发明。
【0027】在一个实施例中,半导体处理设备包括:(1)晶片传送室;(2)至少一个晶片处理室,每一个均连接到晶片传送室;(3)在晶片传送室内设置的晶片传送装置,该晶片传送装置用于装载在晶片处理室内的晶片,所述晶片传送装置包括用于在其上放置晶片的末端操作元件,所述末端操作元件在x轴方向与y轴方向从准备装载位置到晶片处理室是可移动的,所述x轴方向是晶片装载方向,而所述y轴方向在晶片装载平面上垂直于所述x轴方向;(4)在晶片传送室内、晶片处理室前的一个位置设置的至少一个第一光电传感器,在所述位置,放置在末端操作元件上的晶片在准备装载位置部分阻挡由第一光电传感器接收的光,并且当晶片在X轴方向从准备装载位置朝向晶片处理室移动时,基本完全阻挡由第一光电传感器接收的光;以及(5)在晶片传送室内、晶片处理室前的一个位置设置的至少一个第二光电传感器,在所述位置,放置在末端操作元件上的晶片在准备装载位置不阻挡由第二光电传感器接收的光,并且当晶片在X轴方向从准备装载位置朝向晶片处理室移动时,部分阻挡由第二光电传感器接收的光。
【0028】在上面,在一个实施例中,第一光电传感器可适于输出第一信号,所述第一信号表示由第一光电传感器在准备装载位置感测的光量,并且第二光电传感器可适于输出第二信号,所述第二信号表示当晶片从准备装载位置朝向晶片处理室移动时由第二光电传感器感测的最低光量。
【0029】在前面任何实施例中,半导体处理设备可进一步包括存储标准的第一信号与标准的第二信号的控制单元,所述标准的第一信号与标准的第二信号表示在末端操作元件上放置的晶片在x轴方向与在y轴方向都无偏离,其中所述控制单元接收来自第一与第二光电传感器的第一与第二信号,并将该第一与第二信号与标准的第一与第二信号进行比较以确定在末端操作元件上的晶片在x轴方向的偏离与末端操作元件上的晶片在y轴方向的偏离。在一个实施例中,控制单元可基于被确定的晶片偏离而控制晶片传送装置,通过修正偏离而将末端操作元件移动到晶片处理室。在一个实施例中,控制单元可包括用于暂时保持从第二光电传感器输出的第二信号的峰值保持电路。在一个实施例中,第一与第二信号可以是模拟信号。在另一个实施例中,所述信号由8位数字信号组成。
【0030】在前面任何实施例中,从末端操作元件上方观察,第一光电传感器可与从晶片传送装置的轴线到晶片处理室的中心所画的一条x轴线相对准放置。在一个实施例中,第一与第二光电传感器可以设置在晶片处理室的附近。
【0031】在前面任何实施例中,第一光电传感器可具有在x轴方向拉长活或延长的形状,而第二光电传感器可具有在y轴方向拉长的形状。
【0032】在前面任何实施例中,第一光电传感器也可用作检测晶片处理室前的障碍物的传感器。
【0033】在任何前面的实施例中,晶片传送装置可适于绕晶片传送装置的轴线旋转末端操作元件以将末端操作元件定位在准备装载位置。
【0034】在任何前面的实施例中,所述至少一个晶片处理室可由绕晶片传送室放置的两个或者更多个晶片处理室组成。在一个实施例中,晶片传送装置可包括双臂,其中每个臂都具有末端操作元件。
【0035】在任何前面的实施例中,晶片传送装置可进一步包括用以夹持晶片的晶片夹具。
【0036】在任何前面的实施例中,第一与第二光电传感器的每一个可由在晶片传送装置轴向在末端操作元件下放置的光发射器以及在所述轴向在末端操作元件上放置的光传感器组成。在一个实施例中,光传感器可由CCD元件构成。
【0037】另一方面涉及用于将晶片传送到连接到晶片传送室的晶片处理室的方法,包括:(1)在晶片传送室内设置的晶片传送装置的末端操作元件上放置晶片;(2)将带有晶片的末端操作元件移动到准备装载位置;(3)利用第一光电传感器,检测在末端操作元件上的晶片在x轴方向从标准位置的偏离,所述x轴方向是晶片装载方向,所述第一光电传感器被设置在晶片传送室内、晶片处理室前的一个位置,在该位置,放置在末端发生器上的晶片在准备装载位置部分阻挡由第一光电传感器接收的光并且当晶片在X轴方向从所述准备装载位置朝向晶片处理室移动时,所述晶片基本完全阻挡了由第一光电传感器接收的光;(4)在x轴方向,将带有晶片的末端操作元件从准备装载位置移动到晶片处理室;(5)利用第二光电传感器,检测在末端操作元件上的晶片在y轴方向从所述标准位置的偏离,所述y轴方向在晶片装载平面上垂直于所述x轴方向,所述第二光电传感器设置在晶片传送室内、晶片处理室前的一个位置,在该位置,在末端操作元件上放置的晶片在准备装载位置不阻挡由第二光电传感器接收的光,并且当晶片在X轴方向从准备装载位置朝向晶片处理室移动时,部分阻挡由第二光电传感器接收的光;以及(6)当在晶片处理室内装载晶片时,基于在x轴与y轴方向检测到的偏离,调整末端操作元件的移动。
【0038】在上面,在一个实施例中,在步骤(3),第一光电传感器可输出表示由第一光电传感器在准备装载位置读出的光量的第一信号,并且,在步骤(5),第二光电传感器可输出表示由第二光电传感器在晶片从准备装载位置朝向晶片处理室移动时读出的最低光量的第二信号。
【0039】在任何前面的实施例中,步骤(6)可包括从第一与第二光电传感器接收第一与第二信号,并将第一与第二信号同标准的第一与第二信号进行比较以确定在末端操作元件上的晶片在x轴方向的偏离以及在末端操作元件上的晶片在y轴方向的偏离,所述标准的第一信号与标准的第二信号表示末端操作元件上放置的晶片在x轴方向与y轴方向均无偏离。
【0040】在任何前面的实施例中,步骤(6)可包括基于晶片的确定的偏离,控制晶片传送装置,以及通过修正偏离将末端操作元件移动到晶片处理室。
【0041】在任何前面的实施例中,在步骤(3)中,从末端操作元件上方观察,所述偏离可在x轴上被测量,所述x轴是从晶片传送装置的轴线到晶片处理室的中心画出的。在一个实施例中,第一与第二光电传感器可以被设置在晶片处理室的附近。在一个实施例中,所述偏离可通过峰值保持电路测量,所述峰值保持电路用于暂时保持从第二光电传感器输出的第二信号。
【0042】在任何前面的实施例中,步骤(2)可包括绕晶片传送装置的轴线旋转末端操作元件以将末端操作元件定位在准备装载位置。
【0043】在任何前面的实施例中,步骤(3)和(5)可使用模拟信号作为第一与第二信号。
【0044】本发明将参照附图进一步详细说明,所述附图无意对本发明进行限制。
【0045】对于在本公开中未详细说明的条件和/或结构,所属技术领域的技术人员参照本公开,作为常规试验事项,可容易地提供这样的条件和/或结构。
【0046】图1是示出传统的半导体制造设备的一个例子。这种设备包括以下列出的单独的室(模块):
【0047】IOC1,IOC2(In-Out Chamber:进出室):晶片输入/输出室3、4;
【0048】WHC(Wafer Handling Chamber:晶片传送室):晶片传送室2;以及
【0049】RC1,RC2,RC3,RC4(Reactor Chamber:反应室):晶片处理室5、6、7、8。
【0050】在图1中,晶片传送装置1(Vacuum Robot:真空机器人或机械臂,或者VR)设置在晶片传送室2内。此晶片传送装置1具有两个臂以提高生产能力。这两个臂通过下面方式提高生产能力,例如,为了缩短晶片装载时间从而提高生产能力,在晶片处理室内已经完成晶片处理的晶片被拾取的同时,允许下一个将要被处理的晶片被运载到处理室,并且因此在拾取处理过的晶片的操作后,使新的晶片通过操作被立刻放进处理室。
【0051】接下来,图1中的晶片传送装置在图2示出。在图2中,仅示出一个臂。
【0052】晶片传送装置1包括可旋转地连接到具有接头的臂22的末端操作元件21。所述臂22可旋转地连接到可旋转支承件23。末端操作元件21设置有晶片定位器,所述晶片定位器是在前端部上设置的凸出部24与在后端部上设置的凸出部25。所述末端操作元件可包括多于一个的末端操作元件。
【0053】接下来,图3示出在顶部上有晶片33的情况下的晶片传送装置1。如图3所示,当晶片33被放置在晶片传送装置上时,在晶片传送装置上的晶片33的顶端阻挡由在晶片传送室内的特定位置放置的第一光电传感器31接收的光。当晶片传送装置将晶片33装载到在图3中的左侧设置的晶片处理室(未显示)时,如图3中所示的晶片33的底边缘也阻挡在由底边缘经过的位置放置的第二光电传感器32接收的光。换言之,这两个光电传感器应以下面的方式布置在晶片传送室上,即如果晶片传送装置使其晶片放置在正确位置,则晶片的左边缘(在图3中)阻挡由第一光电传感器31接收的光,而当晶片33沿由箭头34所指出的x轴方向被装载到处理室时,晶片的底边缘(在图3中)经过第二光电传感器32并阻挡由该光电传感器接收的光(也可能取决于晶片传送室、晶片传送装置等的结构放置多于2个光电传感器)。在图3中,当从顶侧观察晶片时,y轴垂直于x轴。
【0054】这里,在晶片传送室上的光电传感器31、32的位置在图4中由圆圈表示。正如在前面的图3中示出的,第一光电传感器31沿经过晶片传送装置旋转中心的直线、并在每一个晶片处理室5、6、7或8或晶片输入/输出室的前面设置。由于传感器在每一个处理室的闸阀42的前面设置,因此该传感器也可被用作闸阀42的障碍物传感器,从而有助于减少传感器的数量以及实现更为成本经济的设备。如图3中说明的,第二光电传感器也被设置在当晶片传送装置将晶片装载到处理室时晶片的侧边缘经过该传感器的位置。该传感器也可设置在每一个晶片处理室或晶片输入/输出室的前面。虽然当传送装置转动时,第一光电传感器沿晶片的外周边缘轨迹41设置,但是第二光电传感器无须沿该轨迹41设置(但是该传感器当然可沿该轨迹设置)。
【0055】第二光电传感器被设置在这样的位置,即当传送装置已停止转动并准备在x轴方向将晶片装载到处理室内(=装载位置)时,该传感器接收的光未被阻挡,但是在装载操作开始后以及晶片被装载到处理室内之前的过程中,被部分阻挡,已经足够了。此外,只要在装载位置的晶片部分阻挡由第一光电传感器接收的光,而在装载操作开始后以及晶片被装载到处理室内之前的过程中,基本上完全阻挡所述第一光电传感器接收的光(只片刻时间),第一光电传感器也可被设置在稍微偏离x轴,而不是恰好在x轴上的位置。
【0056】第一光电传感器31′与第二光电传感器32′也可被放置在晶片输入/输出室(或装载锁定室)3、4的前面从而使在所述输入/输出室内的晶片位置最优化。
【0057】接下来,图5中示出光电传感器的工作原理。这里使用的光电传感器包括光发射部件51以及光接收部件52,两者彼此相距一特定尺寸(至mm)。当由传感器接收的光被晶片33阻挡时,光量产生变化,这导致传感器输出改变(在本发明的一实施例中,晶片的位置被检测的准确度(可重复性)基于被晶片阻挡的光量可达到大约1微米)。所述光发射部件51优选地是半导体激光束(例如,具有670nm的波长)光源的单一光源,而所述光接收部件52包括CCD元件并沿一条线被定位。期望地,光电传感器的宽度与长度可具有不同尺寸,并且具体地,光接收部件52可以具有纵向方向以能够恰当地检测到晶片偏离(光接收部件52与光发射部件51可优选地成对设置并大致具有相同形状)。例如,光发射部件可具有9mm×3mm的发光面积,而光接收部件可具有7mm×0.085mm的有效光接收面积(有效光接收面积的纵横比在任何位置都是从10倍到100倍)。光发射部件51位于底部而光接收部件52位于顶部,这是由于这样利于光接收传感器位置的调整。在本发明的一个实施例中可优先使用的光电传感器包括PBZ-CL007V(Yamatake(山武)的产品:激光型线状CCD)。
【0058】从光接收部件52来的信号被输入控制部件53,对应于恰当晶片位置的信号值(参考值)被记录在控制部件53内以进行输入信号值与参考值之间的比较。基于比较结果,控制部件53将表示修正量的控制信号输出到晶片传送装置致动器54从而控制晶片传送装置并当晶片被装载到处理室内时校正晶片的位置。
【0059】之后,具体说明晶片传送装置的实际操作以及晶片偏离怎样被检测与校正。首先,晶片偏离检测的一个实施例通过参照图3与12说明。在从晶片输入/输出室拾取晶片33后,晶片传送装置转动并移动晶片到目标晶片处理室的正前方(=准备装载位置)(图12(a))。当晶片传送装置和晶片在目标晶片处理室的前方变得静止时,第一光电传感器31的输出被检测到。所述被检测到的输出揭示在根据图3的x轴方向的晶片位置。之后,如图12(b)中所示,当晶片被从准备装载位置直接移动到目标晶片处理室内时,第二光电传感器32的输出被检测到。此被检测到的输出揭示在根据图3的Y轴方向的晶片位置。图6和图7分别示出检测x轴与y轴晶片位置的机理。
【0060】图6是示出第一光电传感器31的输出怎样相对于晶片传送装置的运转时间而变化的示意图。水平轴线表示时间(t),而竖直轴线表示由传感器接收的光量(QL)。在图6的左侧,晶片传送装置转动并逐渐接近目标晶片处理室,并且,作为结果,由第一光电传感器31接收的光逐渐被阻挡并且光量减少。在图6中心周围,晶片传送装置完成它的旋转并静止了一会儿。然后,当晶片被装载到目标晶片处理室内时,由第一光电传感器31接收的光被晶片完全阻挡,并因而光量变为零。这发生在图6的右侧。
【0061】这里,图6示出表示光量变化的两条线,包括实线A与虚线B。实线A代表当晶片被正确地定位在晶片传送装置上时光量的变化,而虚线B表示当晶片在晶片传送装置上偏离时光量的变化。这两种光量之间的差提供了表示晶片从正确位置偏离的程度的量。在本发明的实施例中,当晶片传送装置在目标晶片处理室前方已停止转动并静止了一会儿时,所得到的两个光量之间的差被作为偏离量给出。而且,当晶片被正确地在晶片传送装置上定位时,光量也需要事先被记录在装置控制器53里,并且记录值与实际传感器输出(光量)相比较以检测晶片偏离量。在图6中,晶片在阻挡由光电传感器接收的光的方向偏离更多。无须说的是,晶片也可沿相反方向偏离,在这种情况中,在图6中,虚线将出现在实线A上方。
【0062】接下来,第二光电传感器32的输出怎样相对于晶片传送装置的运转时间而变化在图7中以与图6中相同的方式被示出。在图7的左侧,晶片的侧边缘逐渐阻挡由第二光电传感器32接收的光,并且在图7中心周围,晶片最大限度地阻挡由第二光电传感器32接收的光。此后,随着晶片从传感器移开,由传感器接收的光量增加。这发生在图7的右侧。
【0063】这里,光量的两个变化也由实线A与虚线C以与关于图6所做的说明相类似的方式被示出。实线A代表当晶片在晶片传送装置上被正确定位时,光量的变化,而虚线C代表当晶片在晶片传送装置上偏离时光量的变化。当晶片最大限度地阻挡由第二光电传感器32接收的光时,两个光量之间的差异提供了晶片偏离量。检测当晶片传送装置高速进行工作时的最大阻挡光量的方法将在后面进行说明。在图6的情况中,晶片在晶片传送装置上正确定位时的光量需要事先记录在装置控制器53中,并且将所记录的值与实际传感器输出(光量)进行比较以检测晶片偏离量。再在图7中,晶片也在阻挡由光电传感器接收的光的方向偏离更多。无须说的是,晶片也可在相反方向偏离,在这种情况下,图7中虚线将在实线A上方。
【0064】为了清楚地说明以上描述的工作原理,图10与11分别示出在图6和7中示出的晶片偏离情况下,晶片传送装置与光电传感器的位置关系。具体而言,在图10中,当晶片33在它的正确位置A时,晶片顶端或吸头(tip)位于光电传感器31中心附近。另一方面,当晶片位置在x轴方向被移位(到位置B)时,由光电传感器接收的光被更多地阻挡。在图11中,当晶片33在它的正确位置A时,晶片顶端位于光电传感器32中心附近。另一方面,当晶片位置在y轴方向被移位(到位置C)时,由光电传感器接收的光被更多地阻挡。因此,如图6和7所示,由光接收侧传感器接收的光量将减少。无须说的是,如上所说明的,晶片也可在被接收的光量增加的方向(=在与图10和11示出的偏离方向相反的方向)偏离。
【0065】接下来,说明参照图7提到的检测最大被阻挡的光量的方法的一个例子。传感器输出被输入到图8中所示的电路。随着输出电压下降,电流的方向反转并且电容C被充电。接着,考虑当输出电压升高超出最大的阻挡光量时的情况,这相当于图7的右侧示出的情况。在这种情况下,由于二极管D,电荷并不反向流动,并且因此电容C保持的电荷量仍与在最大限度阻挡光时取得的值相同。换言之,图8中示出的是一般的峰值保持电路。所述电路仅仅是一个例子,并且只要能获得相同的目的,可无限制地使用任何其它电路。
【0066】与将传感器输出信号转换为数字信号并对转换的信号进行采样的前面提到的方法相比较,使用如图8中示出的峰值保持电路只保持对应于最大阻挡状态的输出值的这种方法仅允许必要的输出值以可靠且更经济的方式而被获得。
【0067】这里,校正晶片偏离的操作,如图6和7所示,通过参照图9被再次概括与说明。在本发明的实施例中,一般的操作如下面所述:
【0068】(1)在晶片传送装置已经转动后,第一光电传感器31检测在x轴方向的偏离量a’。因为晶片除了在x轴方向偏离也在y轴方向偏离,所以由第一光电传感器31检测的位置P’代表晶片与第一传感器31相重叠的位置,而不是晶片最前侧的边缘,并且因此在位置P与P’之间的距离不直接提供在x轴方向的偏离。在x轴方向的实际偏离通过偏离的晶片(它的中心在O’)的最前侧边缘Q与在恰当位置的晶片(它的中心在O)的最前侧边缘P之间的距离a(=P’和P之间的距离与Q’和Q之间的距离之和)给出。这里,a可以通过下面的说明而被计算。
【0069】(2)在装置控制器的存储区域内记录a’。
【0070】(3)晶片传送装置开始将晶片装载到晶片处理室。
【0071】(4)使用第二光电传感器32与峰值保持电路检测被晶片阻挡的最大光量以在y方向检测偏离量b。(为了便于了解,这种偏离出于说明的目的被放大,而且,虽然在图9中第二光电传感器32与晶片底边缘相偏离,但是晶片仍在第二光电传感器32上并且阻挡由所述传感器接收的光)。
【0072】(4)在装置控制器的存储区域内记录b。
【0073】(5)读取来自存储区的检测的x轴偏离量a’和检测的来自存储区的y轴偏离量以及基于下面的公式(R代表晶片半径)使用装置控制器计算x轴向偏离a的实际数量:
【0074】a=a’+(R-SQRT(R2-b2))            (1)
【0075】(6)在晶片处理室中使用晶片传送装置的臂轴线校正x轴偏离量a。
【0076】(7)在晶片处理室中使用晶片传送装置的旋转轴线校正y轴偏离量b。
【0077】(8)通过晶片传送装置的z轴操作(向下操作)完成晶片装载。
【0078】上面提及的x轴偏离的实际量的计算(公式(1))通过参照图9被进一步说明。在图9中,实线代表正确的晶片位置而虚线代表偏离的晶片的位置。图中用虚线示出的晶片位置偏离到晶片完全不在传感器之上。在这种情况,实际上是不可能对偏离进行检测的。这里,出于直观说明的目的,这种情况被有意地放大了。
【0079】首先,在第一传感器31上正确定位的晶片的x轴位置P与在第一传感器31上偏离的晶片的x轴位置P’之间的距离a’提供了在x轴方向的偏离检测偏离量。其次,在第二传感器32上的正确定位的晶片的y轴位置与在第二传感器32上偏离晶片的y轴位置之间的距离b提供了在y轴方向的偏离检测偏离量(正如更早说明的,尽管在图9中偏离的晶片不处于第二传感器上,但是这里的说明仍假定y轴位置已被检测)。现在,如果给出沿x轴偏离的晶片的顶端或吸头位置作为Q,则给出了晶片的x轴偏离的实际量由沿x轴的P与Q之间的距离a。为得出这个a,需要得到在点Q’与偏离晶片的原点O’之间的距离c,并且,需要得到偏离的晶片的原点O’,其中,在点Q’从点P’延伸的线与x轴成直角相交。然而,这里,距离c是三角形O’P’Q’的一条边O’Q’。而且边O’P’代表晶片的半径R(如果晶片尺寸是300mm,则R=150mm),而边P’Q’表示在y轴方向的偏离量b。由于由边P’Q’与O’Q’形成的角度是90度,则边O’Q’或者距离c可使用勾股定理得到。具体而言,勾股定理提供以下的关系:
(O’Q’)2+(P’Q’)2=(O’P’)2        (2)
【0080】由于O’Q’=c,P’Q’=b,以及O’P’=R,则必然存在下面的关系:
【0081】c=SQRT(R2-b2)        (3)
【0082】在公式(3)中,SQRT是平方根。
【0083】既然已得到c,可利用图9中的下面的关系:
【0084】a=a’+(R-c)          (4)
【0085】作为结果,必然得出下列关系:
【0086】a=a’+(R-SQRT(R2-b2))
【0087】最后,推导出公式(1)。
【0088】根据本发明,为实现上面说明的晶片偏离的检测与校正,晶片传送装置可带有或不带有连接到传送装置的晶片夹具。如图13中所示,如果晶片夹具131被连接到传送装置,则本方法可被用来检测与校正由于晶片传送装置的臂的热膨胀而导致的沿臂延伸方向的晶片偏离,晶片传送装置的臂的热膨胀是由晶片释放的热量造成的。
【0089】基于前面所述,本发明的实施例提供了能检测晶片偏离与校正晶片位置的半导体制造设备,具体地,在所述半导体制造设备内,在晶片传送装置上晶片的偏离可被检测与校正。通过连接峰值保持电路到光电传感器,可以以成本经济的方式实现检测与校正晶片偏离的功能。
【0090】本发明包括以上提到的实施例以及包括以下的其它各种实施例:
【0091】(1)一种半导体制造设备,包括:半导体晶片传送室、半导体晶片处理室以及半导体晶片传送装置,其中这种半导体制造设备的特征在于,半导体晶片传送室在指定位置具有至少两个光电传感器,并且当半导体晶片传送装置放有晶片时,晶片阻挡由第一光电传感器接收的光,而当晶片传送装置将该晶片装载到半导体晶片处理室时,晶片阻挡由第二传感器接收的光,并且第二光电传感器连接到能存储特定时段的最大阻挡光量的电路,从而,第一光电传感器的被阻挡的光量和第二光电传感器的最大被阻挡光量与对应于正确晶片位置的预记录阻挡光量进行比较,以检测晶片是否被放置在晶片传送装置里的正确位置,由光电传感器的被阻挡的光量计算正确的晶片位置,作为结果,半导体晶片传送装置能够将晶片装载到半导体处理设备内的所计算的正确位置。
【0092】(2)根据上面(1)所述的半导体制造设备,其特征在于,所述电路是峰值保持电路。
【0093】(3)根据上面(1)所述的半导体制造设备,其特征在于,能检测晶片是否被放置在正确位置的第一光电传感器也可以用于其它目的,以减少传感器的数量,并且因此可以以成本经济的方式实现所述设备。
【0094】本领域技术人员将理解,可以进行诸多以及各种改进而不脱离本发明精神。因此,应该清楚理解的是本发明的形式仅仅是示意性的并无意限制本发明的范围。

Claims (26)

1.一种半导体处理设备,包括:
晶片传送室;
至少一个晶片处理室,每一个晶片处理室都连接到所述晶片传送室;
在所述晶片传送室内设置的晶片传送装置,该晶片传送装置用于将晶片装载到所述晶片处理室内,所述晶片传送装置包括在其上放置晶片的末端操作元件,所述末端操作元件在X轴方向与Y轴方向从准备装载位置到所述晶片处理室是可移动的,所述X轴方向是晶片装载方向而所述Y轴方向在晶片装载平面上与X轴方向垂直;
在所述晶片传送室内、所述晶片处理室前的一个位置设置的至少一个第一光电传感器,在所述位置,放置在所述末端操作元件上的晶片在所述准备装载位置部分地阻挡由所述第一光电传感器接收的光,并且,当所述晶片在X轴方向从所述准备装载位置朝向所述晶片处理室移动时,所述晶片基本完全阻挡由所述第一光电传感器接收的光;以及
在所述晶片传送室内、所述晶片处理室前的一个位置设置的至少一个第二光电传感器,在所述位置,放置在所述末端操作元件上的晶片在所述准备装载位置不阻挡由所述第二光电传感器接收的光,并且,当所述晶片在X轴方向从所述准备装载位置朝向所述晶片处理室移动时,所述晶片部分地阻挡由所述第二光电传感器接收的光。
2.如权利要求1所述的半导体处理设备,其中所述第一光电传感器适于输出第一信号,所述第一信号表示由所述第一光电传感器在所述准备装载位置感测的光量,并且所述第二光电传感器适于输出第二信号,所述第二信号表示当所述晶片从所述准备装载位置朝向所述晶片处理室移动时由所述第二光电传感器感测的最低光量。
3.如权利要求2所述的半导体处理设备,进一步包括控制单元,所述控制单元存储标准的第一信号与标准的第二信号,所述标准的第一信号与标准的第二信号表示在所述末端操作元件上放置的所述晶片在x轴方向与y轴方向都无偏离,其中所述控制单元接收来自所述第一与第二光电传感器的所述第一与第二信号,并将所述第一与第二信号与所述标准的第一与第二信号相比较以确定在所述末端操作元件上的所述晶片在x轴方向的偏离与所述末端操作元件上的所述晶片在y轴方向的偏离。
4.如权利要求3所述的半导体处理设备,其中所述控制单元基于所述晶片的被确定的偏离,控制所述晶片传送装置,从而通过修正所述偏离,将所述末端操作元件移动到所述晶片处理室。
5.如权利要求1所述的半导体处理设备,其中从所述末端操作元件上方观察,所述第一光电传感器与从所述晶片传送装置的轴线到所述晶片处理室的中心所画的一条x轴线相对准放置。
6.如权利要求5所述的半导体处理设备,其中所述第一与第二光电传感器设置在所述晶片处理室的附近。
7.如权利要求1所述的半导体处理设备,其中所述第一光电传感器具有在X轴方向拉长的形状。
8.如权利要求1所述的半导体处理设备,其中所述第二光电传感器具有在y轴方向拉长的形状。
9.如权利要求3所述的半导体处理设备,其中所述控制单元包括峰值保持电路,所述峰值保持电路用于暂时保持从所述第二光电传感器输出的所述第二信号。
10.如权利要求1所述的半导体处理设备,其中所述第一光电传感器还具有用于检测所述晶片处理室前的障碍物的传感器的功能。
11.如权利要求1所述的半导体处理设备,其中所述晶片传送装置适于绕所述晶片传送装置的轴线旋转所述末端操作元件以将所述末端操作元件在所述准备装载位置定位。
12.如权利要求1所述的半导体处理设备,其中所述至少一个晶片处理室由围绕所述晶片传送室设置的两个或更多个晶片处理室组成。
13.如权利要求12所述的半导体处理设备,其中所述晶片传送装置包括双臂,每一个臂都具有末端操作元件。
14.如权利要求1所述的半导体处理设备,其中所述晶片传送装置进一步包括夹持所述晶片的晶片夹具。
15.如权利要求1所述的半导体处理设备,其中所述第一与第二光电传感器的每一个都由在所述晶片传送装置的轴向在所述末端操作元件下方设置的光发射器以及在所述轴向在所述末端操作元件上方设置的光传感器组成。
16.如权利要求1所述的半导体处理设备,其中所述第一与第二信号是模拟信号。
17.如权利要求15所述的半导体处理设备,其中所述光传感器由CCD元件构成。
18.一种将晶片传送到连接至晶片传送室的晶片处理室的方法,包括:
(1)在所述晶片传送室内设置的晶片传送装置的末端操作元件上放置晶片;
(2)移动带有所述晶片的所述末端操作元件到准备装载位置;
(3)通过第一光电传感器检测在所述末端操作元件上的所述晶片在x轴方向从标准位置的偏离,所述x轴方向是晶片装载方向,而所述第一光电传感器设置在所述晶片传送室内、所述晶片处理室前的一个位置,在所述位置,放置在所述末端操作元件上的所述晶片在所述准备装载位置部分阻挡由所述第一光电传感器接收的光,并且,当所述晶片在所述x轴方向从所述准备装载位置朝向所述晶片处理室移动时,所述晶片基本完全阻挡由所述第一光电传感器接收的光;
(4)在所述x轴方向从所述准备装载位置向所述晶片处理室移动带有所述晶片的所述末端操作元件;
(5)通过第二光电传感器检测所述末端操作元件上的所述晶片在y轴方向从所述标准位置的偏离,所述y轴方向垂直于在晶片装载平而上的所述x轴方向,所述第二光电传感器设置在所述晶片传送室内、所述晶片处理室前的一个位置,在所述位置,放置在所述末端操作元件上的所述晶片在所述准备装载位置不阻挡由所述第二光电传感器接收的光,并且,当所述晶片在所述x轴方向从所述准备装载位置朝向晶片所述处理室移动时,所述晶片部分阻挡由所述第二传感器接收的光;以及
(6)当在所述晶片处理室内装载所述晶片时,基于在所述x轴与y轴方向被检测到的偏离,调整所述末端操作元件的移动。
19.如权利要求18所述的方法,其中所述第一光电传感器输出第一信号,所述第一信号表示由所述第一光电传感器在步骤(3)中在所述准备装载位置感测的光量,以及所述第二光电传感器输出第二信号,所述第二信号表示由所述第二光电传感器在步骤(5)中所述晶片从所述准备装载位置朝向所述晶片处理室移动时感测的最低光量。
20.如权利要求19所述的方法,其中步骤(6)包括从所述第一和第二光电传感器接收所述第一和第二信号,并将所述第一和第二信号同标准的第一和第二信号进行比较以确定所述末端操作元件上的所述晶片在x轴方向的偏离以及所述末端操作元件上的所述晶片在y轴方向的偏离,所述标准的第一信号和标准的第二信号表示放置在所述末端操作元件上的所述晶片在x轴方向与y轴方向均无偏离。
21.如权利要求20所述的方法,其中步骤(6)包括基于所述晶片被确定的偏离控制所述晶片传送装置,从而通过修正所述偏离将所述末端操作元件移动到所述晶片处理室。
22.如权利要求18所述的方法,其中在步骤(3),从所述末端操作元件上方观察,在从所述晶片传送装置的轴线到所述晶片处理室的中心画出的一条x轴线上测量所述偏离。
23.如权利要求22所述的方法,其中所述第一与第二光电传感器设置在所述晶片处理室的附近。
24.如权利要求20所述的方法,其中所述偏离由一峰值保持电路测量,所述峰值保持电路用于暂时保持从所述第二光电传感器输出的所述第二信号。
25.如权利要求18所述的方法,其中步骤(2)包括绕所述晶片传送装置的轴线旋转所述末端操作元件以将所述末端操作元件定位在所述准备装载位置。
26.如权利要求18所述的方法,其中步骤(3)和(5)使用模拟信号作为所述第一与第二信号。
CN2009100080240A 2008-04-03 2009-02-19 具有晶片对准装置的晶片处理设备 Active CN101552219B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/062,419 US7963736B2 (en) 2008-04-03 2008-04-03 Wafer processing apparatus with wafer alignment device
US12/062,419 2008-04-03

Publications (2)

Publication Number Publication Date
CN101552219A true CN101552219A (zh) 2009-10-07
CN101552219B CN101552219B (zh) 2012-12-05

Family

ID=41133436

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100080240A Active CN101552219B (zh) 2008-04-03 2009-02-19 具有晶片对准装置的晶片处理设备

Country Status (4)

Country Link
US (1) US7963736B2 (zh)
JP (1) JP5258660B2 (zh)
KR (1) KR101666613B1 (zh)
CN (1) CN101552219B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102403253A (zh) * 2011-11-04 2012-04-04 中微半导体设备(上海)有限公司 一种利用复合传输路径来实现硅片传输的方法
CN102800614A (zh) * 2011-05-27 2012-11-28 日东电工株式会社 半导体晶圆固定方法及半导体晶圆固定装置
CN102881618A (zh) * 2011-07-15 2013-01-16 东京毅力科创株式会社 基板搬送装置、基板处理系统和基板搬送方法
CN102969255A (zh) * 2012-11-01 2013-03-13 上海集成电路研发中心有限公司 一种静态扫描获取硅片位置信息的系统及方法
CN107644820A (zh) * 2016-07-21 2018-01-30 北京北方华创微电子装备有限公司 晶片偏移检测方法及装置、半导体加工设备
CN111133563A (zh) * 2017-09-19 2020-05-08 应用材料公司 包括垂直偏移、水平重叠的蛙腿连杆的双叶片机器人和包括其的系统和方法
CN114179002A (zh) * 2021-11-02 2022-03-15 华虹半导体(无锡)有限公司 晶片自动定位装置及晶片自动定位方法

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US8120376B2 (en) 2007-12-12 2012-02-21 Novellus Systems, Inc. Fault detection apparatuses and methods for fault detection of semiconductor processing tools
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
JP5185054B2 (ja) * 2008-10-10 2013-04-17 東京エレクトロン株式会社 基板搬送方法、制御プログラム及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5572575B2 (ja) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
KR101131686B1 (ko) * 2011-02-07 2012-03-28 주식회사 다우테크 발광다이오드 이송장치
JP5243569B2 (ja) * 2011-03-07 2013-07-24 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
US8489225B2 (en) * 2011-03-08 2013-07-16 International Business Machines Corporation Wafer alignment system with optical coherence tomography
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9196518B1 (en) 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
TWI710440B (zh) * 2014-11-10 2020-11-21 美商布魯克斯自動機械公司 工具自動教導方法及設備
WO2019126332A1 (en) * 2017-12-19 2019-06-27 Carnegie Mellon University Intelligent cleaning robot
US20200409382A1 (en) * 2014-11-10 2020-12-31 Carnegie Mellon University Intelligent cleaning robot
KR102469258B1 (ko) 2014-11-18 2022-11-22 퍼시몬 테크놀로지스 코포레이션 엔드 이펙터 위치 추정을 위한 로봇의 적응형 배치 시스템
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101817209B1 (ko) * 2016-06-24 2018-02-22 세메스 주식회사 기판 처리 장치 및 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102181121B1 (ko) * 2016-09-20 2020-11-20 주식회사 원익아이피에스 기판 이송 장치 및 기판 이송 장치의 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6842934B2 (ja) * 2017-01-27 2021-03-17 株式会社Screenホールディングス 基板搬送装置、検出位置較正方法および基板処理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
TWI794530B (zh) 2018-07-20 2023-03-01 美商應用材料股份有限公司 基板定位設備及方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10636693B2 (en) * 2018-09-11 2020-04-28 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer device and control method therefor
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102411115B1 (ko) * 2018-12-10 2022-06-20 주식회사 원익아이피에스 기판처리시스템 및 이를 이용한 기판처리방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102020533B1 (ko) 2019-02-22 2019-09-10 임진희 엔드 이펙터 측정모듈 및 이를 이용한 엔드 이펙터 모니터링 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102235042B1 (ko) * 2020-06-16 2021-03-31 에스케이씨솔믹스 주식회사 엔드 이펙터 변위 측정 방법 및 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113675123B (zh) * 2021-07-29 2024-01-05 长鑫存储技术有限公司 一种晶圆校准装置、方法及系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3907439A (en) 1973-08-14 1975-09-23 Zygo Corp Edge-sensing with a scanning laser beam
US3945505A (en) 1974-07-08 1976-03-23 Motorola, Inc. Indexing apparatus
US4148344A (en) 1975-10-20 1979-04-10 The Pack River Company Portable sawmill
US4024944A (en) 1975-12-24 1977-05-24 Texas Instruments Incorporated Semiconductor slice prealignment system
DE2652187C2 (de) 1976-11-16 1986-12-04 Emag Maschinenfabrik Gmbh, 7335 Salach Transportvorrichtung
US4201378A (en) 1978-05-16 1980-05-06 Bell & Howell Company Skew detector
US4228886A (en) 1978-12-26 1980-10-21 Ppg Industries, Inc. Position sensor
JPS5855270A (ja) 1981-09-30 1983-04-01 Hitachi Ltd インサ−タプリンタの書式制御方式
GB2109923B (en) * 1981-11-13 1985-05-22 De La Rue Syst Optical scanner
US4457664A (en) 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
US4466073A (en) 1982-04-26 1984-08-14 The Perkin Elmer Corporation Wafer prealigner using pulsed vacuum spinners
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4507078A (en) 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US4523985A (en) 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
US4907035A (en) 1984-03-30 1990-03-06 The Perkin-Elmer Corporation Universal edged-based wafer alignment apparatus
US4635373A (en) 1984-09-07 1987-01-13 Canon Kabushiki Kaisha Wafer conveying apparatus with alignment mechanism
US4698511A (en) 1984-11-08 1987-10-06 Canon Kabushiki Kaisha Document sheet size or position recognition device
JPH0619670B2 (ja) 1984-12-17 1994-03-16 株式会社デイスコ 自動精密位置合せシステム
JPS61184842A (ja) 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め装置
JPS61228639A (ja) 1985-04-03 1986-10-11 Canon Inc ウエハ処理装置
US4818169A (en) 1985-05-17 1989-04-04 Schram Richard R Automated wafer inspection system
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
JPS6273643A (ja) 1985-09-26 1987-04-04 Ando Electric Co Ltd 移動台上のウエハの位置合わせ機構
JPS6187352A (ja) 1985-09-27 1986-05-02 Hitachi Ltd オリエンテーシヨンフラツトの位置決め装置
US5162642A (en) 1985-11-18 1992-11-10 Canon Kabushiki Kaisha Device for detecting the position of a surface
US4765793A (en) 1986-02-03 1988-08-23 Proconics International, Inc. Apparatus for aligning circular objects
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4744713A (en) 1986-05-21 1988-05-17 Texas Instruments Incorporated Misalignment sensor for a wafer feeder assembly
GB8705368D0 (en) 1987-03-07 1987-04-08 Britax Ltd Hydraulic manual control unit
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4833790A (en) 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
US4880348A (en) 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
JPH0620097B2 (ja) 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
US4838733A (en) 1988-12-05 1989-06-13 Katz Albert A Landfill compaction
US5044752A (en) 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
DE69329269T2 (de) 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
US5600258A (en) * 1993-09-15 1997-02-04 Intest Corporation Method and apparatus for automated docking of a test head to a device handler
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
JP3200326B2 (ja) * 1995-04-24 2001-08-20 東京応化工業株式会社 円板状被処理物の移載方法及び移載装置
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5706201A (en) * 1996-05-07 1998-01-06 Fortrend Engineering Corporation Software to determine the position of the center of a wafer
US5870488A (en) * 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US5788125A (en) * 1996-06-10 1998-08-04 Steiner; Edward H. Sip and spray fluid container assembly
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
WO1999052686A1 (en) 1998-04-16 1999-10-21 Genmark Automation, Inc. Substrate prealigner
GB2349204B (en) * 1999-04-19 2004-03-03 Applied Materials Inc A method of detecting the position of a wafer
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6856863B1 (en) * 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
KR100396010B1 (ko) * 2000-08-02 2003-08-27 샤프 가부시키가이샤 캐리어 검출 회로 및 적외선 리모콘 수신기
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP4005401B2 (ja) * 2002-04-19 2007-11-07 富士通株式会社 増幅回路及び光通信装置
US7572092B2 (en) * 2002-10-07 2009-08-11 Brooks Automation, Inc. Substrate alignment system
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
JP4450664B2 (ja) * 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7319920B2 (en) * 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
JP2006351884A (ja) * 2005-06-16 2006-12-28 Tokyo Electron Ltd 基板搬送機構及び処理システム
JP2007072162A (ja) * 2005-09-07 2007-03-22 Mitsubishi Electric Corp 表示装置
JP2007116014A (ja) * 2005-10-24 2007-05-10 Hitachi Kokusai Electric Inc 基板処理装置
JP4522360B2 (ja) * 2005-12-02 2010-08-11 日東電工株式会社 半導体ウエハの位置決定方法およびこれを用いた装置
JP4422745B2 (ja) * 2007-07-24 2010-02-24 キヤノンアネルバ株式会社 ウェハ位置ずれ検出装置およびウェハ位置ずれ検出方法
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US20100003833A1 (en) * 2008-07-01 2010-01-07 Asm Japan K.K. Method of forming fluorine-containing dielectric film

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102800614A (zh) * 2011-05-27 2012-11-28 日东电工株式会社 半导体晶圆固定方法及半导体晶圆固定装置
CN102800614B (zh) * 2011-05-27 2015-01-21 日东电工株式会社 半导体晶圆固定方法及半导体晶圆固定装置
US9159598B2 (en) 2011-05-27 2015-10-13 Nitto Denko Corporation Semiconductor wafer mounting method and semiconductor wafer mounting apparatus
CN102881618A (zh) * 2011-07-15 2013-01-16 东京毅力科创株式会社 基板搬送装置、基板处理系统和基板搬送方法
CN102403253A (zh) * 2011-11-04 2012-04-04 中微半导体设备(上海)有限公司 一种利用复合传输路径来实现硅片传输的方法
CN102403253B (zh) * 2011-11-04 2013-08-21 中微半导体设备(上海)有限公司 一种利用复合传输路径来实现硅片传输的方法
CN102969255A (zh) * 2012-11-01 2013-03-13 上海集成电路研发中心有限公司 一种静态扫描获取硅片位置信息的系统及方法
CN107644820A (zh) * 2016-07-21 2018-01-30 北京北方华创微电子装备有限公司 晶片偏移检测方法及装置、半导体加工设备
CN107644820B (zh) * 2016-07-21 2020-10-13 北京北方华创微电子装备有限公司 晶片偏移检测方法及装置、半导体加工设备
CN111133563A (zh) * 2017-09-19 2020-05-08 应用材料公司 包括垂直偏移、水平重叠的蛙腿连杆的双叶片机器人和包括其的系统和方法
CN111133563B (zh) * 2017-09-19 2021-04-02 应用材料公司 包括垂直偏移、水平重叠的蛙腿连杆的双叶片机器人和包括其的系统和方法
CN114179002A (zh) * 2021-11-02 2022-03-15 华虹半导体(无锡)有限公司 晶片自动定位装置及晶片自动定位方法

Also Published As

Publication number Publication date
JP5258660B2 (ja) 2013-08-07
JP2009253286A (ja) 2009-10-29
US20090252580A1 (en) 2009-10-08
KR20090105819A (ko) 2009-10-07
CN101552219B (zh) 2012-12-05
KR101666613B1 (ko) 2016-10-24
US7963736B2 (en) 2011-06-21

Similar Documents

Publication Publication Date Title
CN101552219B (zh) 具有晶片对准装置的晶片处理设备
CN101383311B (zh) 晶片传输系统
US7140655B2 (en) Precision soft-touch gripping mechanism for flat objects
US20220254666A1 (en) Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
CN101404263B (zh) 半导体衬底处理设备以及控制衬底传送机器人的方法
US7572092B2 (en) Substrate alignment system
US6900877B2 (en) Semiconductor wafer position shift measurement and correction
US6532403B2 (en) Robot alignment system and method
US6085125A (en) Prealigner and planarity teaching station
CN104399675B (zh) 一种用于电能表自动分拣系统及方法
US11581214B2 (en) Enhanced automatic wafer centering system and techniques for same
KR101695197B1 (ko) 보지 부재의 형상 판정 장치, 그 방법, 기판 처리 장치 및 기억 매체
US7230702B2 (en) Monitoring of smart pin transition timing
KR20180021046A (ko) 기판 처리 장치 및 기판 처리 방법
TW200416933A (en) System and method for on-the-fly eccentricity recognition
CN106350780A (zh) 反应腔室及半导体加工设备
CN101396692B (zh) 可自动化进行电子元件外观检测的装置
CN101567306B (zh) 元件操纵器、系统及方法
US20090263217A1 (en) Testing device to test plates for electronic circuits and relative method
SG190041A1 (en) Method for calibrating a robot mounted on active magnetic bearings
CN113745966B (zh) 阵列式反射镜自动耦合封装方法
CN109904101B (zh) 一种晶圆转移及测量系统
CN107297439B (zh) 一种磁力分张设备
CN115818086B (zh) 晶圆存储库对接天车口的存储调度系统及方法
CN114147522A (zh) 一种轴筒类零件机械手上料到机床的定位装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant