JPS63211645A - ウエハーを装着及び離脱する方法及び装置 - Google Patents

ウエハーを装着及び離脱する方法及び装置

Info

Publication number
JPS63211645A
JPS63211645A JP62305517A JP30551787A JPS63211645A JP S63211645 A JPS63211645 A JP S63211645A JP 62305517 A JP62305517 A JP 62305517A JP 30551787 A JP30551787 A JP 30551787A JP S63211645 A JPS63211645 A JP S63211645A
Authority
JP
Japan
Prior art keywords
wafer
axis
support
support member
along
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62305517A
Other languages
English (en)
Inventor
アントニオス・エマニュエル・プレンタキス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Teradyne Inc
Original Assignee
Teradyne Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Teradyne Inc filed Critical Teradyne Inc
Publication of JPS63211645A publication Critical patent/JPS63211645A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q7/00Arrangements for handling work specially combined with or arranged in, or specially adapted for use in connection with, machine tools, e.g. for conveying, loading, positioning, discharging, sorting
    • B23Q7/04Arrangements for handling work specially combined with or arranged in, or specially adapted for use in connection with, machine tools, e.g. for conveying, loading, positioning, discharging, sorting by means of grippers
    • B23Q7/048Multiple gripper units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)
  • Sheets, Magazines, And Separation Thereof (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 (1)産業上の利用分野 この発明はウェハー加工装置への自動的な装着及び離脱
装置に関する。
(2)発明の技術的背景 大多数の半導体ウェハー加工装置は、特に集積回路製造
の後段にあっては、典型的にはウェハーを真空チャック
で保持して、一時に1枚のウェハーを加工する。一般に
は、しかしなから、およそ25ないし50枚の多数のウ
ェハーが、1または2個のカセットに収められて1度に
装置に供給される。カセットは、規則的な空間を開けら
れたスロットを有するマガジンで、1スロット当り1枚
のウェハーを移送する。ウェハーの上面にエツチングさ
れた回路は極めて微細かつ小さな粒子により汚染されや
すいから、これらウェハーは相互の及び他の物との接触
を避けることが重要となっている。
最近の製造設備においては、加工現場における操業人員
の制限が重要視されているが、それは人間が汚染粒子の
主たる原因であるからである。このため、はとんどの加
工装置は、すべてについて人手を介在させずに、ウェハ
ーを一時に1枚カセットから取り出し、そのウェハーを
加工装置により支持される位置まで移動させ、かつ加工
済のウェハーをカセットに戻す、自動ローグーが備えら
れている。
自動的なカセットとカセット・ウェハー・ハンドリング
における2つの重要な作業は、カセットとローダ−との
間のウェハーの移送と、ローダ−と加工装置との間のウ
ェハーの交換である。従来の装着メカニズムは、カセッ
トを入力及び出力するコンベアベルト及びエレベータか
ら構成されている。入力カセットエレベータは底部の未
加工ウェハーがコンベアベルトに乗るまで入力カセット
を下げ、カセットからベルト上に移送されるようにし、
さらに次のウェハーをベルト上にもってくるように再び
下げる。この反復操作は、加工済ウェハーを出力ベルト
から出力カセット上に移送されるようにする。一般に、
コンベアベルトと加工装置のチャックとの間のウェハー
の移送は完全に異なるメカニズム、例えばロータリー・
シャトル・アームによっておこなわれる。このやり方の
欠点は、各カセットに対しエレベータを用意するコスト
の大きさと、ウェハーにアトランダムにアクセスできな
いことである。
より多用されつつある別のやりかたは、ウェハーをカセ
ットから取り出し、それを加工装置のチャック上に直接
置き、それを加工後加工装置のチャックから直接取り外
し、さらにそれをカセットの同−又は異なるスロットに
戻すために、適当な作動端(例えば、真空ワンド)を有
するロボットの使用である。これは、ウェハーのランダ
ムアクセスを可能にし、かつカセットエレベータの必要
性を除く。
ロボットアームの先端に回転可能にマウン1−された支
承体の両端に2本の真空ワンドが用いられたため、加工
済ウェハーが加工装置から一方のワンドから取り外され
ることができ、未加工ウェハーは他方のワンドの加工装
置の所定位置へ直ちに流されることができ、カセットに
その他の往復移動をさせる必要がなくなり、加工装置の
力i工時間が増大した。ロボットは、加工済ウェハーを
カセットに戻し、未加工ウェハーを取りだしく同時に、
必要に応じて全体のウェハー平坦位置の調整を行い)、
かつ該加工装置の操業中及びそこでの次のウェハー交換
のための待機中に加工装置の周辺に戻っていることがで
きる。
(3)発明の概説 我々が発明したものは、加工装置内で独立にウェハー支
持位置内にX軸に沿って直線的に可動な2つのウェハー
支持部材を用いて、一方の支持部材を用いて加工済ウェ
ハーを取り出し、その直後に他方の支持部材により未加
工ウェハーを流すことにより、連続するウェハー間の迅
速な交換を可能にし、カセットの戻り動作を不要にし、
かつ2つの支持端部を持つシングルロボットアームを回
転させるのに必要な大空間及び複雑性を排除したもので
ある。
好適な実施例においては、2つのウェハー支持部材が、
共にキャリッジによりX軸に垂直なZ軸に沿って移動可
能に移送され、該支持部材を一時収納装置から加工装置
の支持位置に等しい位置まで移動し、加工装置への移送
に先立ち該ウェハーを角度的に調整することのできる位
置決めステーションがあり、さらに、該ウェハーは同−
又は、異なるカセットに戻される。
この発明のその他の利点及び特徴は、下記するその好適
な実施例及び特許請求の範囲の記載から理解されるであ
ろう。
(4)好適な実施例の説明 以下に好適な実施例について説明する。
#ヰ横ヰ 第1図を参照すると、ウェハー装着及び離脱装置10と
、該装置のための支承体であるフレーム12が示されて
いる。キャリッジ14は、フレーム12上にマウントさ
れたガイドバー16上にスライド可能にマウントされ、
かつコンピュータ制御ステップモータ(図示せず)によ
り回転されるねじ軸18によりZ軸に沿って垂直に駆動
されるようにねし結合されている。キャリッジ14上に
は上側水平トラック20及び下側水平トラック22(い
ずれもX軸に平行)がマウントされ、それぞれ2つの独
立したコンピュータ制御リニアステップモータ24及び
26を動がす、各リニアモータ24,26は、アーム2
8及び3oを移送し、該各アームはU字形状真空ワンド
32及び34を端部に持っている。該ワンドは、チュー
ブハーネス36を通じて真空にされ、各真空度はコンピ
ュータで制御されたソレノイドバルブ(図示せず)で独
立に制御される。半導体ウェハーは、各ワンドの上面の
上を移送され、その背面(回路がない)側は、U字状部
底面近くのワンド上面内のオリフィスでウェハーに付与
される上記真空手段により該ワンドに保持されている。
該ウェハーは、カセット移送体42に収められたカセッ
ト38.40の中に収容されている。
位置決めステーション44(これは、第2図に示される
ように、装置1oの背後にあって、カセット移送体42
の下の部分を含む)への供給に先立ちウェハーを回転す
るのに用いられ、所望の角度位置に向ける1位置決めス
テーション44は、ウェハーがそれに対して真空ワンド
によってレジストされるべき半円状凹形棚面48を有す
るガイドプレート46を含む、ステーション44は、さ
らに、該ウェハーを回転させるための位置決め真空チャ
ック50を含み、該ウェハーの回転周面は、その基準点
くそのウェハーの周面内の平坦部又は切り込み部)が光
検出器の通過まで回転されるまで、光源52から該光検
出器54への光ビームを遮断する。
第2図を参照すると、ウェハー装着及び離脱装置10は
、レーザートリミング加工156(第2図では極めて概
略的に示しである)に近接して示されており、ドア60
の背後に、ワンド32及び34が伸長できるように配設
された加工用真空チャック58を含む、加工用真空チャ
ック58は、ワンド32,34を用いてウェハーを交換
するために、ウェハー支持位置内のチャック58(第2
図に示されるように)上に上昇させられ得る、3木のビ
ン62を含む。
ウェハーが存在するカセット38.40の位=(例えば
、Z、X座標)、位置決めステーション44のガイドプ
レート46の位置、及び加工用チャック58の位置は、
制御コンピュータのメモリ内にプログラムされている。
一旦所望の位置がプログラムされると、カセットの装着
及び離脱を除き、もはや人手の介在は必要ない。
#畔井 作動時には、キャリッジ14が、ねじ軸18の回転によ
り、上部真空ワンド32が対応するカセット38または
40の所望のスロット内のウェハーの下の空間内に挿入
されるように、それに対応する高さまで駆動され、該上
部ワンド32はトラック20に沿って移動するりニアス
デップモータ24により、ウェハーの下の位置の空間内
に(X軸に沿って水平に)挿入され、この際下部ワンド
は引き戻されたままとなっている。キャリッジ14が少
量引き上げられると、ワンド32はその上面がウェハー
に接触し、真空力がウェハーを真空ワンド32に保持し
、引き出されて、それと共にウェハーを移送する。
キャリッジ14が下がると、ウェハーをガイドプレート
46のレベルまで運ぶ。ワンド32は次に、該ウェハー
を半円状棚面に接触させるのに必要なだけ少量伸長する
。ワンド32への真空力が断たれ、キャリッジ14は、
ワンド32をウェハーから完全に降下させるだけ少量下
げられる。該ウェハーは真空チャック5oに支持され、
次に回転されて、もし光源の位置と異なっている場合に
は、該チャックにより、ウェハーの周面を光源52と光
検出器54の間を通過させて平坦部又は切り込み部の位
置を突き止め、続いて正しい回転位置まで回転される。
真空力が再び上部ワンド32に付与され、キャリッジ1
4が持ち上げられて該上部ワンド32はここで正しく位
置決めされたウェハーを持ち上げる。
キャリッジ14は、Z軸に沿って垂直に、下部真空ワン
ド34がレーザートリミング加工機の加工チャック58
から加工済ウェハーを持ち上げるのに適した高さに動が
される。通常、真空ワンド34(プロセス上その時点で
はウェハーを移送していない)は、その位置で前記ウェ
ハーの加工が完了するのを待つ。完了すると、ビン62
が加工済ウェハーを持ち上げ、下部真空ワンド34がド
ア60の開口から加工機56の加工済ウェハー66の下
まで(トラック24に沿って移動するリニアステップモ
ータ26により)移動し、それを持ち上げ、該機械から
退避させる。キャリッジ14は、次に垂直方向にわずか
に移動して、ワンド32により移送される正しく位置決
めされた未加工ウェハー64を、今度は所望の高さに達
する。
ワンド32は、加工機56内に移動し、ウェハー64を
ビン62上に置き、該ビンはウェハー64を加工チャッ
ク58上に降ろす。第2図はチャック58への移送のわ
ずかに先立つ位置における未加工ウェハー64を示す。
上部ワンド32は引っ込められ、新しいウェハー64の
加工が全開始するところである。
次の時点では、下部真空ワンド34のみがウェハー(加
工済ウェハー66)を移送しており、2本のアーム28
.30及びそれらのワンド32゜34は、撤退位置にあ
る。キャリッジ14は、次に上昇して、下部ワンド34
を加工済ウェハー66を置くための対応するカセットの
スロットの高さに位置付ける(これは、そのウェハーが
当初取り出されたスロット、又は所望のその他のスロワ
I・でよい)。加工済ウェハー66がそのスロットに挿
入され、下部ワンド34が撤退し、今度は次の未加工ウ
ェハーが接近しかつそのカセットスロットから上部ワン
ド32により引き出され、こうしてこのサイクルは又最
初から始められる。
この装置の2本のアームとワンド及びそれらの独立した
直線状駆動体は、加工チャック58における連続するウ
ェハーの迅速な交換を考慮しているから、未加工のアイ
ドルタイムを最小にする(この場合は余分なメモリのレ
ーザー修復)。さらに又、前記ワンドが加工装置56内
の位置を単純に直線状に移動するので、わずかのスペー
スがそれらを接近させるのに要するのみである。キャリ
ッジ14は、2本のワンドの垂直方向の移動を都合よく
させ、独立した垂直移動機構に必要な複雑さを避けてい
る。新しい座標系を簡単に与えることにより、異なるカ
セットと加工装置も収容されうる。
(5)その他の実施例 ・ その他のこの発明の実施例は、冒頭の特許請求の範囲に
含まれる。例えば、装置10は、レーザートリマーでは
なく、検査装置やその他のウェハー加工装置にも用いら
れることができよう。
【図面の簡単な説明】
第1図は、この発明に基づくウェハー装着及び離脱装置
の斜視図、 第2図は、加工装置にウェハーを移送する場合の第1図
の装置の真空ワンドを示す、概略部分側面図、である。 (外4名) 図面の::”’ r“::+’i’ffに1更をし)I
CL1 FIG、2 手続補正書 昭和62年特許願第305517号 2)発明の名称 ウェハーを装着及び離脱する方法及び装置3、補正をす
る者 事件との関係  特許出願人 住所 名 称  テラダイン・インコーホレーテッド4、代理
人 住 所  東京都千代田区人手町二丁目2番1号新大手
町ビル 206号室 5、補正の対象

Claims (1)

  1. 【特許請求の範囲】 1)一時収納装置と加工装置との間のウェハー移送装置
    であって、 その支承体は、平坦面を有するウェハーが加工装置に結
    合されうる予め定めたウェハー支持位置を有しており、 持ち上げるために前記位置にウェハーを、該ウェハーの
    平坦面がX軸に平行かつZ軸に垂直に、一時的に収納す
    るように前記支承体にマウントされた一時収納装置と、 前記一時収納装置と前記支持位置の間の第1ウェハー支
    持部材上にウェハーを移送するための第1ウェハー支持
    部と、 前記支承体上にマウントされかつ前記第1支持部材をX
    軸上に動かす第1X軸方向駆動体と、前記一時収納装置
    と前記支持位置の間の第2ウェハー支持部材上にウェハ
    ーを移送するための第2ウェハー支持部と、 前記支承体上にマウントされかつ前記第2支持部材を前
    記第1支持部材と独立にX軸上に動かす第2X軸方向駆
    動体と、 前記支承体上にマウントされかつ第1及び第2支持部材
    をZ軸方向に動かすZ軸方向駆動体、及び、前記第1支
    持部材、及びそれにより前記支持位置にX軸に沿って移
    送される未加工ウェハーを移動させ、それと同時又は直
    後に前記第2支持部材、及びそれにより前記支持位置か
    ら前記X軸に沿って移送される加工済ウェハーを移動さ
    せるための、第1X軸方向駆動体の制御装置 からなるウェハー移送装置。 2)特許請求の範囲第1項記載の移送装置であって、前
    記Z軸方向駆動体は、Z軸方向に可動でかつ前記第1及
    び第2X軸方向駆動体を移送するキャリッジを有し、前
    記第1及び第2支持部材は各軸に沿って可動で、該キャ
    リッジに固着されており、X軸に平行かつZ軸上で互い
    に所定距離離れて配設されている、ウェハー移送装置。 3)特許請求の範囲第2項記載の移送装置であって、前
    記Z軸方向駆動体は、該Z軸に平行でかつ前記キャリッ
    ジのねじにより回転駆動されるネジ軸を有する、ウェハ
    ー移送装置。 4)特許請求の範囲第2項記載の移送装置であつて、前
    記第1及び第2支持部材が該ウェハーを裏面で支持する
    フラット真空ワンドを有する、ウェハー移送装置。 5)特許請求の範囲第4項記載の移送装置であって、前
    記真空ワンドがU字形状である、ウェハー移送装置。 6)特許請求の範囲第4項記載の移送装置であつて、前
    記X軸は水平に位置決めされており、ウェハーの裏面は
    その底部に置かれ、かつ前記ワンドはウェハーを下面か
    ら支持する、ウェハー移送装置。 7)特許請求の範囲第1項記載の移送装置であつて、さ
    らに、前記支承体により移送される位置決めステーショ
    ンを有し、前記ウェハーを前記ウェハー支持部材により
    該位置決めステーションに搬送可能である、ウェハー移
    送装置。 8)特許請求の範囲第7項記載の移送装置であって、前
    記位置決めステーションが、前記ウェハーをその裏面の
    中心で支持しかつそのウェハーを所望の角度の方向に回
    転させることが可能な部材を有する、ウェハー移送装置
    。 9)特許請求の範囲第8項記載の移送装置であって、前
    記第1及び第2ウェハー支持部材は該ウェハーを裏面で
    支持するフラット真空ワンドを有する、ウェハー移送装
    置。 10)特許請求の範囲第9項記載の移送装置であって、
    前記真空ワンドがU字形状である、ウェハー移送装置。 11)一時収納装置と加工装置との間のウェハー移送方
    法であつて、 1枚のウェハーをピックアップされる位置に一時的に、
    その平坦面をX軸に平行かつZ軸に垂直に収納するため
    の一時収納装置、第1及び第2ウェハー支持部材、該支
    持部材を独立かつX軸に平行に移動するための第1及び
    第2X軸方向駆動体及び、前記第1及び第2ウェハー支
    持部材をZ軸に沿って移動させるためのZ軸方向駆動体
    、が用意されており、第1の未加工ウェハーを第1のウ
    ェハー支持部材で前記収納装置から持ち上げ、 加工済ウェハーが位置している加工装置内のウェハー支
    持位置にX軸に沿って前記第2ウェハー支持部材を動か
    し、 該第2ウェハー支持部材で前記加工済ウェハーを支持し
    、 X軸に沿って該加工装置から前記第2ウェハー支持部材
    及び支持された加工済ウェハーを取り外し、 前記ウェハー支持位置にX軸に沿って前記第1ウェハー
    支持部材及びそれに支持された未加工ウェハーを移動さ
    せ、 前記第1ウェハー支持部材から該未加工ウェハーを離脱
    させ、 該未加工ウェハーのなくなった前記第1ウェハ一支持部
    材をX軸に沿って取り外す、ウェハー移送方法。 12)特許請求の範囲第11項記載の方法であって、前
    記用意されたものがZ軸に沿って可動でかつ前記第1及
    び第2X軸方向駆動体を移送するキャリッジを含み、さ
    らに、前記取り出し後、第2ウェハー支持部材の移動に
    先立ち、該Z軸に沿って該キャリッジを前記収納装置の
    位置から前記支持位置と同じ位置まで動かして該第2ウ
    ェハー支持部材を前記支持位置に位置決めする前記方法
    。 13)特許請求の範囲第12項記載の方法であって、さ
    らに、前記第2支持部材の取り外し後、前記キャリッジ
    をZ軸に沿って動かして前記第1支持部材の前記支持位
    置まで動かし、かつ、該第1支持部材の取り外し後、該
    キャリッジをZ軸に沿って動かして該第2支持部材及び
    それに支持されたウェハーを前記収納位置まで運び、か
    つ該第2支持部材及びそれに支持された加工済ウェハー
    をX軸に沿って前記収納装置に動かす前記方法。 14)特許請求の範囲第11項記載の方法であつて、さ
    らに、未加工ウェハーを位置決めステーションに動かし
    、前記取り出し後、該位置決めステーションにおいて該
    ウェハーの角度を位置決めする前記方法。 15)特許請求の範囲第13項記載の方法であって、さ
    らに、前記第1のウェハーを前記加工装置から取り外し
    てそれを前記収納装置に戻す前記方法。 16)特許請求の範囲第15項記載の方法であって、前
    記戻す段階が、前記第1のウェハーをそれが取り外され
    た場所から前記収納装置の位置に戻すことからなる前記
    方法。 17)特許請求の範囲第15項記載の方法であって、前
    記戻す段階が、前記第1のウェハーをそれが取り外され
    た場所から前記収納装置の位置とは別の場所に戻すこと
    からなる前記方法。 18)特許請求の範囲第17項に記載の方法であつて、
    前記収納装置がウェハーを受け入れるためのスロットを
    持つ複数のカセットを有し、前記第1のウェハーが、そ
    れが取り外されたカセットから、それとは異なるカセッ
    トのスロットに戻されるようにした前記方法。
JP62305517A 1986-12-02 1987-12-02 ウエハーを装着及び離脱する方法及び装置 Pending JPS63211645A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/936,825 US4775281A (en) 1986-12-02 1986-12-02 Apparatus and method for loading and unloading wafers
US936825 1986-12-02

Publications (1)

Publication Number Publication Date
JPS63211645A true JPS63211645A (ja) 1988-09-02

Family

ID=25469124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62305517A Pending JPS63211645A (ja) 1986-12-02 1987-12-02 ウエハーを装着及び離脱する方法及び装置

Country Status (4)

Country Link
US (1) US4775281A (ja)
JP (1) JPS63211645A (ja)
DE (1) DE3740855A1 (ja)
GB (1) GB2198881B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152266A (ja) * 1991-11-26 1993-06-18 Dainippon Screen Mfg Co Ltd 基板搬送装置
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5085558A (en) * 1987-02-09 1992-02-04 Svg Lithography Systems, Inc. Wafer handling system
US4973217A (en) * 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US5193972A (en) * 1987-02-09 1993-03-16 Svg Lithography Systems, Inc. Wafer handling system
CA1264025A (en) * 1987-05-29 1989-12-27 James A.E. Bell Apparatus and process for coloring objects by plasma coating
IT1220216B (it) * 1987-07-23 1990-06-06 Antonio Codatto Manipolatore per folgi di lamiera
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
JPH0617295Y2 (ja) * 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
KR970006206B1 (ko) * 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 자동 도포 시스템
DE3814924A1 (de) * 1988-05-03 1989-11-16 Leybold Ag Vorrichtung zum ein- und ausschleusen von substraten aus einem vakuumkessel
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JP3006714B2 (ja) * 1988-12-02 2000-02-07 東京エレクトロン株式会社 縦型基板移載装置及び縦型熱処理装置並びに縦型熱処理装置における基板移載方法
JP2714833B2 (ja) * 1988-12-18 1998-02-16 日本真空技術株式会社 仕込・取出室
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
US5100287A (en) * 1989-04-27 1992-03-31 Micron Technology, Inc. Method of transferring wafers using vacuum
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
US4981408A (en) * 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
FR2656598B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de chargement et de dechargement d'objets plats dans une cassette de rangement.
DE69020338T2 (de) * 1989-12-29 1995-11-02 Amada Co Vorrichtung zum handhaben von blechen.
CH680275A5 (ja) * 1990-03-05 1992-07-31 Tet Techno Investment Trust
GB9006471D0 (en) * 1990-03-22 1990-05-23 Surface Tech Sys Ltd Loading mechanisms
JPH0419081A (ja) * 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
US5042709A (en) * 1990-06-22 1991-08-27 International Business Machines Corporation Methods and apparatus for precise alignment of objects
DE69113553T2 (de) * 1990-07-23 1996-06-20 Dainippon Screen Mfg Schnittstellenvorrichtung zum Transportieren von Substraten zwischen Verarbeitungsgeräten.
DE4024973C2 (de) * 1990-08-07 1994-11-03 Ibm Anordnung zum Lagern, Transportieren und Einschleusen von Substraten
US5153841A (en) * 1990-11-21 1992-10-06 Advanced Micro Devices Method of and apparatus for semi-conductor wafer selection
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
JPH05136218A (ja) * 1991-02-19 1993-06-01 Tokyo Electron Yamanashi Kk 検査装置
KR940003241B1 (ko) * 1991-05-23 1994-04-16 금성일렉트론 주식회사 To-220 반도체 제조기의 리드프레임 자동 공급장치
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
US5321352A (en) * 1991-08-01 1994-06-14 Tokyo Electron Yamanashi Limited Probe apparatus and method of alignment for the same
US5387265A (en) * 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
US5292222A (en) * 1992-03-31 1994-03-08 International Business Machines Corporation Robotic load device for outer diameter pickup of a disk
GB2266992A (en) * 1992-05-06 1993-11-17 Surface Tech Sys Ltd Apparatus for loading semi-conductor wafers
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
WO1994011745A1 (en) * 1992-11-10 1994-05-26 David Cheng Method and apparatus for measuring film thickness
US5479108A (en) * 1992-11-25 1995-12-26 David Cheng Method and apparatus for handling wafers
JP2913439B2 (ja) * 1993-03-18 1999-06-28 東京エレクトロン株式会社 移載装置及び移載方法
KR100291109B1 (ko) * 1993-05-31 2001-06-01 히가시 데쓰로 반도체 웨이퍼의 버언 인 검사기능을 구비한 프로우브 검사 및 리페어장치, 및 반도체 웨이퍼의 버언 인 검사장치
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
US5506512A (en) * 1993-11-25 1996-04-09 Tokyo Electron Limited Transfer apparatus having an elevator and prober using the same
JPH07153720A (ja) * 1993-11-26 1995-06-16 Seiko Seiki Co Ltd ダイシング装置のウェハ自給装置
US5510723A (en) * 1994-03-01 1996-04-23 Micron Custom Manufacturing, Inc. Usa Diced semiconductor device handler
US5604443A (en) * 1994-05-23 1997-02-18 Tokyo Electron Limited Probe test apparatus
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5622400A (en) * 1995-06-07 1997-04-22 Karl Suss America, Inc. Apparatus and method for handling semiconductor wafers
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5647718A (en) * 1995-07-07 1997-07-15 Pri Automation, Inc. Straight line wafer transfer system
US5741109A (en) * 1995-07-07 1998-04-21 Pri Automation, Inc. Wafer transfer system having vertical lifting capability
JPH11509046A (ja) * 1995-07-07 1999-08-03 ピーアールアイ オートメーション インコーポレイテッド 直線式ウエハ移送システム
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
KR970023964A (ko) * 1995-10-13 1997-05-30 김광호 반도체 제조용 스톡커의 트랜스퍼 이송장치
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US5984607A (en) * 1995-11-06 1999-11-16 Tokyo Electron Limited Transfer apparatus, transfer method, treatment apparatus and treatment method
DE29518578U1 (de) * 1995-11-23 1996-01-18 Kodak Ag Vorrichtung zur Entnahme plattenförmiger Gegenstände von einem Stapel
US6036426A (en) * 1996-01-26 2000-03-14 Creative Design Corporation Wafer handling method and apparatus
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3239779B2 (ja) * 1996-10-29 2001-12-17 日新電機株式会社 基板処理装置および基板処理方法
KR100199293B1 (ko) * 1996-11-08 1999-06-15 윤종용 반도체 패키지 제조 장치
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6183183B1 (en) 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JP3591679B2 (ja) * 1997-04-17 2004-11-24 株式会社アドバンテスト Ic用トレイ取出装置及びic用トレイ収納装置
US6053688A (en) * 1997-08-25 2000-04-25 Cheng; David Method and apparatus for loading and unloading wafers from a wafer carrier
JP2001514439A (ja) * 1997-08-28 2001-09-11 シーブイシー プロダクツ, インク. 複数ステーションの機械のウエハー取り扱い装置
US5915910A (en) * 1997-08-29 1999-06-29 Daitron, Inc. Semiconductor wafer transfer method and apparatus
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6309163B1 (en) * 1997-10-30 2001-10-30 Applied Materials, Inc. Wafer positioning device with storage capability
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
FR2778496B1 (fr) * 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6162006A (en) * 1998-05-22 2000-12-19 Asm America, Inc. Stackable cassette for use with wafer cassettes
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6401008B1 (en) 1998-11-18 2002-06-04 Advanced Micro Devices, Inc. Semiconductor wafer review system and method
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000195921A (ja) * 1998-12-25 2000-07-14 Tokyo Electron Ltd 搬送装置
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6112735A (en) 1999-03-02 2000-09-05 Micron Technology, Inc. Complete blade and wafer handling and support system without tape
US6075334A (en) * 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6164899A (en) * 1999-04-22 2000-12-26 Automated Concepts, Inc. Disk transfer apparatus
US6249342B1 (en) * 1999-07-06 2001-06-19 David Cheng Method and apparatus for handling and testing wafers
US6467827B1 (en) 1999-10-30 2002-10-22 Frank J. Ardezzone IC wafer handling apparatus incorporating edge-gripping and pressure or vacuum driven end-effectors
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6478532B1 (en) * 1999-11-30 2002-11-12 Asyst Technologies, Inc. Wafer orienting and reading mechanism
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6520727B1 (en) 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter
US6508883B1 (en) 2000-04-29 2003-01-21 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
KR100724612B1 (ko) * 2000-07-26 2007-06-04 삼성전자주식회사 무인운반차 및 그의 웨이퍼 이적재 방법
JP3825232B2 (ja) * 2000-07-28 2006-09-27 株式会社 Sen−Shi・アクセリス カンパニー ウエハ搬送システム及びその搬送方法
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2002100664A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
US6585478B1 (en) 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
US6782596B2 (en) * 2001-02-13 2004-08-31 University Of North Carolina At Charlotte Fiducial calibration systems and methods for manufacturing, inspection, and assembly
JP2002270667A (ja) * 2001-03-12 2002-09-20 Sony Corp 半導体製造方法及び半導体製造装置
DE10119229B4 (de) * 2001-04-19 2004-04-15 Rohwedder Microtech Gmbh & Co. Kg Werkstückträger-Wechseleinrichtung und Wechselverfahren für Werkstückträger
US6964276B2 (en) * 2002-09-03 2005-11-15 Nova Measuring Instruments Ltd. Wafer monitoring system
DE10128712C2 (de) * 2001-06-13 2003-06-18 Erich Thallner Vorrichtung mit mindestens zwei Säulen, entlang derer ein Bauteil vertikal verfahrbar ist
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
JP2005520321A (ja) * 2001-07-16 2005-07-07 アシスト テクノロジーズ インコーポレイテッド ツールのフロントエンド加工物処理のための統合システム
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6695572B2 (en) 2001-09-28 2004-02-24 Agere Systems Inc. Method and apparatus for minimizing semiconductor wafer contamination
EP1310986A1 (de) * 2001-11-08 2003-05-14 F & K Delvotec Bondtechnik GmbH Chipträgerplatten-Wechselmechanismus
FR2835337B1 (fr) * 2002-01-29 2004-08-20 Recif Sa Procede et dispositif d'identification de caracteres inscrits sur une plaque de semi-conducteur comportant au moins une marque d'orientation
CN100520706C (zh) 2002-06-19 2009-07-29 布鲁克斯自动技术公司 半导体制造用竖直传送带与空中升降机组合式自动物料搬运系统
KR101544699B1 (ko) * 2002-10-11 2015-08-18 무라다기카이가부시끼가이샤 오버헤드 호이스트를 탑재한 오버헤드 호이스트 수송 차량
US20070092359A1 (en) * 2002-10-11 2007-04-26 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
CN1669892B (zh) * 2003-11-13 2011-11-16 应用材料股份有限公司 高速载入器相对于基片传送系统的校准
US7101138B2 (en) * 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100587684B1 (ko) * 2004-06-30 2006-06-08 삼성전자주식회사 웨이퍼 정렬장치
US20070059153A1 (en) * 2005-09-14 2007-03-15 Applied Materials, Inc. Methods and apparatus for a transport lift assembly
EP1775594A1 (en) * 2005-10-17 2007-04-18 Capres A/S Eliminating in-line positional errors for four-point resistance measurement
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4769105B2 (ja) * 2006-03-24 2011-09-07 株式会社日立ハイテクノロジーズ ディスクの表面欠陥検査方法および検査装置
JP4727500B2 (ja) * 2006-05-25 2011-07-20 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7690881B2 (en) * 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI465380B (zh) * 2012-06-19 2014-12-21 Ind Tech Res Inst 晶圓傳輸系統
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140271064A1 (en) * 2013-03-15 2014-09-18 Teradyne, Inc. Parallel operation of system components
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014013188B3 (de) * 2014-09-05 2015-09-10 Muetec Automatisierte Mikroskopie Und Messtechnik Gmbh Waferausrichtvorrichtung sowie Verfahren zum Ausrichten eines Wafers auf eine vorbestimmte Drehwinkellage
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106710442B (zh) * 2015-10-21 2021-01-22 京东方科技集团股份有限公司 背光源分离设备
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6862163B2 (ja) * 2016-12-09 2021-04-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10725091B2 (en) 2017-08-28 2020-07-28 Teradyne, Inc. Automated test system having multiple stages
US10948534B2 (en) 2017-08-28 2021-03-16 Teradyne, Inc. Automated test system employing robotics
US11226390B2 (en) 2017-08-28 2022-01-18 Teradyne, Inc. Calibration process for an automated test system
US10845410B2 (en) 2017-08-28 2020-11-24 Teradyne, Inc. Automated test system having orthogonal robots
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10983145B2 (en) 2018-04-24 2021-04-20 Teradyne, Inc. System for testing devices inside of carriers
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10775408B2 (en) 2018-08-20 2020-09-15 Teradyne, Inc. System for testing devices inside of carriers
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10901328B2 (en) * 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109742041B (zh) * 2019-01-07 2020-11-24 成都中电熊猫显示科技有限公司 基板传送的控制方法及设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
CN110155721B (zh) * 2019-06-06 2021-03-12 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 传输机械臂
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7296825B2 (ja) * 2019-08-26 2023-06-23 東京エレクトロン株式会社 載置装置の制御方法、載置装置および検査装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11754596B2 (en) 2020-10-22 2023-09-12 Teradyne, Inc. Test site configuration in an automated test system
US11867749B2 (en) 2020-10-22 2024-01-09 Teradyne, Inc. Vision system for an automated test system
US11754622B2 (en) 2020-10-22 2023-09-12 Teradyne, Inc. Thermal control system for an automated test system
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11953519B2 (en) 2020-10-22 2024-04-09 Teradyne, Inc. Modular automated test system
US11899042B2 (en) 2020-10-22 2024-02-13 Teradyne, Inc. Automated test system
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59220942A (ja) * 1983-05-31 1984-12-12 Toshiba Corp ウエハ移し替え装置
JPS6095920A (ja) * 1983-10-05 1985-05-29 アドヴアンスト セミコンダクター マテイリアルズ アメリカ インコーポレーテツド 物品取扱い装置及び方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3823836A (en) * 1973-05-22 1974-07-16 Plat General Inc Vacuum apparatus for handling sheets
US3868759A (en) * 1973-11-09 1975-03-04 Gen Motors Corp Magnetic pre-alignment of semiconductor device chips for bonding
US4618292A (en) * 1977-02-28 1986-10-21 International Business Machines Corporation Controls for semiconductor wafer orientor
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
US4465416A (en) * 1982-05-17 1984-08-14 The Perkin-Elmer Corporation Wafer handling mechanism
US4558983A (en) * 1983-10-24 1985-12-17 Usm Corporation Automatic board handling mechanism
CA1287594C (en) * 1986-04-04 1991-08-13 Miroslav Eror Method and apparatus for handling and processing wafer like materials
EP0244202B1 (en) * 1986-04-28 1994-09-21 Varian Associates, Inc. Wafer transfer system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59220942A (ja) * 1983-05-31 1984-12-12 Toshiba Corp ウエハ移し替え装置
JPS6095920A (ja) * 1983-10-05 1985-05-29 アドヴアンスト セミコンダクター マテイリアルズ アメリカ インコーポレーテツド 物品取扱い装置及び方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
JPH05152266A (ja) * 1991-11-26 1993-06-18 Dainippon Screen Mfg Co Ltd 基板搬送装置

Also Published As

Publication number Publication date
GB8728136D0 (en) 1988-01-06
DE3740855A1 (de) 1988-06-09
US4775281A (en) 1988-10-04
GB2198881B (en) 1990-02-14
GB2198881A (en) 1988-06-22

Similar Documents

Publication Publication Date Title
JPS63211645A (ja) ウエハーを装着及び離脱する方法及び装置
JP5435414B2 (ja) マテリアル取扱システム
CN107112264A (zh) 晶片对准器
JPS6374546A (ja) 工作物移送装置および方法
WO1987006566A1 (en) Automatic wafer loading method and apparatus
JP7224725B2 (ja) 搬送システム
JPS61284357A (ja) 被工作物のカセツト間移送方法と装置
KR100849589B1 (ko) 절삭장치
US20010000721A1 (en) Wafer handling robot having X-Y stage for wafer handling and positioning
JP7229644B2 (ja) 搬送システム
JPH05338728A (ja) ウエーハ搬送方法及び装置
JP3647330B2 (ja) 半導体製造装置およびデバイス製造方法
JPS63244856A (ja) ウエハボ−トの移送装置
JPS6129143B2 (ja)
JP2555982B2 (ja) 半導体装置の移載装置
JP5762248B2 (ja) ユニット搬出入装置
JPH0110932Y2 (ja)
CN218867064U (zh) 一种用于晶圆存取的存片机
JP2001287141A (ja) 研削装置
JPH0323453B2 (ja)
JPH02155251A (ja) 半導体製造装置
JPS6010747A (ja) 自動チツプ付装置
JPH072309A (ja) ウェーハ搬送装置
JP2024040887A (ja) 加工装置
JPS61217436A (ja) キヤリア治具自動供給機構