JPH0870034A - 静電力低減のためのパターン付きサセプタ - Google Patents

静電力低減のためのパターン付きサセプタ

Info

Publication number
JPH0870034A
JPH0870034A JP12023095A JP12023095A JPH0870034A JP H0870034 A JPH0870034 A JP H0870034A JP 12023095 A JP12023095 A JP 12023095A JP 12023095 A JP12023095 A JP 12023095A JP H0870034 A JPH0870034 A JP H0870034A
Authority
JP
Japan
Prior art keywords
substrate
susceptor
pattern
wafer
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP12023095A
Other languages
English (en)
Other versions
JP4014233B2 (ja
Inventor
Mark A Fodor
エー. フォダー マーク
Craig A Bercaw
エー. バーカウ クレイグ
Charles Dornfest
ドーンフェスト チャールズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0870034A publication Critical patent/JPH0870034A/ja
Application granted granted Critical
Publication of JP4014233B2 publication Critical patent/JP4014233B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Jigs For Machine Tools (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【目的】 サセプタ上でのウェハの固着を防止するこ
と。 【構成】 サセプタは、高い部分及び低い部分からなる
2つ以上の領域を有する表面パターンを備える。この高
低部分からなる領域は、互いに同一面上にあって処理用
ウェハを支持する頂部を有する長方形又は正方形のディ
ンプル付きパターンとなることが可能である。高い領域
と低い領域は1点から広がっているような波形としても
よい。この領域では各波の頂部が、処理されるべきウェ
ハを置くことができる仮想平面を形成する。高い領域と
低い領域の組合せにより、ウェハとサセプタ間の平均間
隔を増大させ、処理ハードウェア及びウェハ間において
処理中の電界によって形成される静電結合(固着力)を
低減させ或は消去する。ディンプル付きパターンは、機
械加工により形成でき、また処理ハードウェア部分の表
面を加工する化学的・電気的エッチングを使用すること
により形成することもできる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体製造処理チャンバ
における半導体基板を支持するのに使用されるペディス
タルまたはサセプタの表面前処理(surface preparatio
n )に関するものである。
【0002】
【従来の技術】半導体基板(ウェハ)は略平坦であり、
処理中(例えば、エッチング、蒸着)、ペディスタルま
たはサセプタの略平坦な表面上に完全に支持される。処
理中、ウェハは高温(elevated temperatures )にさら
され、また磁界や電界にもさらされる。図1には典型的
な処理チャンバ20が示されている。ガス分配プレート
33は、陽極処理済み(anodized)アルミニウム製サセ
プタ27によって支持されたウェハ35の方にガスを導
く。ガス分配プレート33には交流高周波電流が供給さ
れ、その電流によってガスはプラズマとされる。サセプ
タ27は、堆積過程を促進するために且つプラズマエン
ベロープを安定化させるために接地されている。
【0003】電界は、ウェハ上に静電荷を生成させるこ
とができる。ウェハ上の電荷は、導電性の金属製サセプ
タ内に極性が反対の電荷を引き付け、ウェハ及びサセプ
タが分離するのを妨げる固着力の原因となる静電引力を
ウェハとサセプタとの間に発生させる。ウェハがウェハ
処理チャンバの中へあるいはウェハ処理チャンバから移
送されあるいは取扱われる(handle)とき、この固着力
は、問題を引き起こす可能性があり、また問題を引き起
こす場合がある。
【0004】ウェハと平坦なサセプタとの間の小さい隙
間、及びサセプタ材料表面の絶縁特性、例えば、陽極処
理済みアルミニウム製サセプタの表面における陽極処理
済み材料の絶縁特性は、ウェハとサセプタとの間の誘電
層を構成する。この誘電層は、サセプタ及びウェハを、
コンデンサの2枚の板として作用させる。従って、ウェ
ハとサセプタとの間の固着力を、コンデンサの原理によ
ってモデル化することができる。
【0005】ウェハ及びサセプタ間の静電引力(固着
力)は、陽極処理被膜の比誘電率(dielectric constan
t )に正比例し、前記2片の表面間の距離、すなわちペ
ディスタル上にある陽極処理被膜の厚さの2乗に逆比例
する。
【0006】この固着の問題は、図1及び図2に示され
ている。半導体ウェハ35は、半導体処理チャンバ20
内に示されている。複数のリフトフィンガ(lift finge
rs)24(通常4本)は、サセプタ27の外周部近くに
あるリフトピンホール(liftpin holes)30を貫通す
るように配置されている。ウェハの処理が完了したと
き、リフトフィンガはウェハをペディスタルの上方に持
ち上げ、そのウェハはロボット(図示せず)によってチ
ャンバから取り出される。
【0007】詳細に述べるならば、リフトフィンガとサ
セプタとの間の相対的な運動(リフトフィンガの上昇、
及び/又は、サセプタの下降)によって、リフトフィン
ガ24はウェハ35の下側に接触し、サセプタ27から
ウェハ35を持ち上げ始めるためにウェハの下側に力を
加える。ウェハ35を持ち上げてサセプタ27の表面か
ら分離させるためには、ウェハ35をサセプタ27に引
き付ける静電的固着力に打ち勝たねばならない。リフト
フィンガがウェハの外周部を持ち上げ始めている際、ウ
ェハとペディスタルとの間の静電引力は、ウェハの中央
部をペディスタルに接触させた状態で保持させる場合が
ある。これは、ウェハを図1に示すような凹形にたわま
せる。リフトフィンガがサセプタを貫いて上昇し続ける
と、リフトフィンガがウェハに加える力はそれ自体増大
し続けるとともにウェハのたわみ(flexing )を増大し
続け、最終的にウェハ35をサセプタ27に保持する固
着力に打ち勝つ。固着力の解放は、予測不可能であり、
しばしば突然起こる。
【0008】前記解放が予測不可能なのは、固着力がウ
ェハとサセプタとの間の間隔及び相対的な位置(relati
ve positioning)に依存しているからである。各サセプ
タ及び各ウェハは、できる限り均一に作製されるが、製
作公差によって、予測不可能に固着力を変える小さな変
化が生じる。付着力は偏るか、さもなくば不均一となる
場合があり、このことが不均一なたわみや、サセプタか
らのウェハの予測不可能な解放をもたらす。
【0009】サセプタからのウェハの解放が徐々に且つ
円滑に起これば、リフトピンは、サセプタからウェハを
持ち上げるとき、まずウェハの底面(underside )にお
けるリフト位置(lift locations)でウェハと接触し、
それからリフトフィンガのリフトサイクル(lifting cy
cle )の間、同じリフト位置で接触を維持する。
【0010】解放が突然起こると、ウェハのたわみは、
リフトフィンガをたわませ、あるいはウェハの底部にお
ける支持位置を変化させる。解放は突然起こるので、前
述のボウル形ウェハの中央部における質量部の復元運動
(restoring momentum)は、図2に示されるウェハ35
のように、ウェハをリフトフィンガから抜重(unweigh
t:荷重がかからないようにすること)させるであろ
う。極端な場合には、前記復元運動は、ウェハをリフト
フィンガから飛上がらせるのに十分となるであろう(図
2にはこのような飛上がりの様子が破線35aによって
示されている)。ウェハがその行程(travel)のピーク
に達した後、ウェハは元に戻り、再びリフトフィンガに
よって支持される。ウェハの抜重あるいは飛上がりは、
ほぼ一定(uniform )であるということはなく、ウェハ
とリフトフィンガとの間に振動及び滑り(sliding )あ
るいは相対的な運動を引き起こす可能性があり、または
引き起こす場合がある。
【0011】
【発明が解決しようとする課題】これらの状況(scenar
ios )では、ウェハがサセプタから突然解放されると、
ウェハの底部におけるリフトフィンガの最初の接触点は
維持されなくなる。リフトフィンガの端部に対するウェ
ハの動きは、ウェハの最初の配列及び位置(arrangemen
t and registration)を変更させる。この変更は、以後
の細かい処理工程が行われる前に認識され、且つ、修正
されなければならない。いくつかの例では、リフトフィ
ンガに対するウェハの元の位置からの変位は非常に大き
いので、ウェハはもはや通常のウェハ取扱いロボットブ
レード(blade )によって取扱うことはできず、更に処
理、及び/又は、取扱いが継続できるよう、変位したウ
ェハの位置が修正されるまで、通常の処理は中断されな
ければならなくなる。
【0012】処理中、決められた位置(registered pos
itions)からウェハが常に変位していしまうことは、半
導体処理において大きな問題となる。次の処理工程にお
いて、変位が修正されないままであると、その変位は製
造の遅れをもたらし、全ウェハを破損させる虞れがあ
る。
【0013】
【課題を解決するための手段及び作用】本発明において
は、ウェハ支持ペディスタル上の隆起された形状(rais
ed feature)は、半導体ウェハ及びペディスタル間の平
均間隔の増大、及び/又は、ウェハ及びペディスタル間
の静電引力(固着力)を低減させるように該間隔の領域
の誘電率の減少を引き起こす。このことは、加工部材と
加工部材ホルダとの間において最大の固着力を与えるた
めに前記間隔を最小にする静電型チャックの背景となる
原理と反対である。
【0014】隆起形状を有する特定の繰返しパターンか
らなる種々の実施態様が開示されている。各パターン
は、ウェハにじかに接触するペディスタルの表面積を減
少させる。
【0015】一つの実施態様においては、ディンプルパ
ターンは、サセプタの表面における凹部の表面から作り
出されている。ディンプルは、微細加工、化学的切削加
工、ビードブラスチング(bead blasting )、フォトエ
ッチング、電界研磨、及び選択的に材料を除去してディ
ンプルパターンを残すことができる他の関係する化学的
/電気化学的技術によって形成され得る。
【0016】別の実施態様では、高い領域と低い領域
は、円形にパターン付けされた波からなり、この波は、
石が静かな池に落とされたとき観察される波紋と類似し
ており、サセプタの中央部から発生しているように見え
る。この波の山は、ウェハを支持し得る仮想平面を形成
する。サセプタの表面からウェハの表面を離すことによ
って、波の谷はディンプル付きパターンにおける凹部と
同様に振る舞い、このことによってサセプタ及びウェハ
間の平均間隔を増大させる。そして、旋盤上でサセプタ
部材を回転させることによって容易にそのサセプタ部材
の表面に波形パターンを導入することができる。
【0017】
【実施例】サセプタ40の表面上における高い領域と低
い領域からなるパターンは、半導体ウェハ35と導電性
ウェハ支持ペディスタル27の本体との間の平均間隔を
増大させる。また、外側が誘電層(陽極処理済みアルミ
ニウム製ペディスタルの陽極処理層のような層)である
前記パターンは、ウェハ35と誘電層の下にある導電性
金属(例えば、アルミニウム)製ペディスタルの本体と
の間における領域の平均誘電率を減少させる。前記高い
領域の頂部は、同一面にあり前記ウェハを支持する。
【0018】前記ウェハと接触している前記高い領域の
表面積は、前記ウェハまたは前記サセプタの表面積より
かなり小さい。前記ウェハに面している前記サセプタの
表面積に対する高い領域の面積の割合は、従来技術にお
ける実質100%から、実質50%以下まで、一定の構
造(in certain configurations )では2%まで減らさ
れる。
【0019】基板を均一に加熱し続けることは必要であ
る。ウェハの均一加熱を促進しウェハの過熱を防ぐため
に、ウェハからその支持体に流れる熱エネルギが前記ウ
ェハ内における温度勾配及び過度の熱勾配による破損
(fracture)の可能性を減らすよう基板(ウェハ)支持
体とウェハとの間の接触は充分でなければならない。
【0020】接触面積が非常に小さいと、効果的な熱伝
達が、ウェハへのエネルギ流入の散逸を起こすことを妨
げることとなる。このときウェハの温度は上昇し、ウェ
ハに熱誘起応力差(differential thermal induced str
ess )を加え、もって、ウェハを破損する虞れがある。
逆に、非常に大きい面積で前記ウェハと接触しており又
はウェハに近接していると、そのとき静電固着力は実質
上低減せず、前述したウェハの固着に関係する問題が起
こるであろう。
【0021】ウェハとペディスタルとの間の静電引力
は、次の通りになる。
【0022】
【数1】
【0023】ここで、Fは引力であり、Vは電圧、ε0
は自由空間(free space)の誘電率、kは比誘電率、A
は面積、xは離隔距離(あるいは平均/有効離隔距離)
である。
【0024】固着に関係する静電引力は、2つの荷電し
た表面間の距離(x )の2乗に逆比例するので、ウェハ
とサセプタとの間の間隔を基準の0.001 インチ(0.025m
m )から0.0025インチ(0.06mm)(2.5 倍)まで増大さ
せると有効引力を約6倍減少させる。これに対し、前記
間隔を0.001 インチから0.004 インチ(0.1mm )まで増
大させると前記引力を16倍減少させるであろう。
【0025】また、更に好ましくは、ウェハとペディス
タルの導電性金属製本体との間の間隔を増加させる代わ
りに、前記ペディスタル上の誘電被膜の部分を除去する
ことによって前記ウェハと前記ペディスタルの導電性金
属製本体との間の領域の平均誘電率を減少させることも
可能である。平均誘電率が減少するのは、前記ペディス
タルの誘電被膜の誘電率が、前記誘電被膜を取り除いた
領域によって形成される空間を占めるガスあるいは真空
の誘電率よりかなり大きいからである。
【0026】次のパターン付きサセプタの実施例では、
処理工程における必要条件を満足しており且つ静電荷に
由来するウェハ固着という問題を防止するということが
分かっている。
【0027】図3は、正方形ディンプル付きパターンサ
セプタ40を示している。ディンプル(dimple)50及
びディンプル付きパターン44の拡大図が図4、図5及
び図6に示されている。正方形ディンプルは、アルミニ
ウム製(冷間引抜された6061-T6 が好ましい)サセプタ
に機械加工される。ディンプルの公称長さ及び公称幅
「B」は、約16分の1インチ(0.063 インチ、すなわ
ち1.59mm)である。図4に示されるように正方形パター
ンで配列されたディンプル間の距離「A」は、約16分
の3インチ(0.188 インチ、すなわち4.76mm)である。
パターンが機械加工されて前記サセプタ40の当該表面
が形成されると、各ディンプル50の側面図は、図5に
示すように表される。機械加工後におけるディンプルの
寸法「B」によって前記ディンプル50の頂部縁部(ed
ge)における角部54は鋭くなる。角部が鋭いと、コン
デンサ板に蓄積された電荷が、鋭い角部において早期放
電(スパーク)する可能性を増大させるので、鋭い角部
にすることは避ける必要がある。従って、機械加工され
た部分(machined piece)は、十分に焼なまし(annea
l)され、それから研磨(abrasive)酸化アルミニウム
が吹き付けられ、それから陽極処理後Ra=40〜60の表
面仕上げに到達するように硫酸で陽極処理される。前述
の仕上げ過程後における図5の正方形頂部ディンプル付
き平坦部(square top dimpled plateau)は、図6に示
されるように縁部が丸められたディンプルとなる。頂部
角部の丸みは、図5及び図6間で前記寸法「B」を比較
することから理解できるように、実質的にディンプルの
頂部表面の実際の寸法を減少させることに気付くべきで
ある。この仕上げによって得られた前記ディンプルの丸
められた角部は、前記サセプタの表面から前記ウェハに
早期スパーク放電を起こす可能性を減少させ、又はほと
んど除去する。
【0028】前記ディンプルの周辺領域は、0.0005イン
チ(0.013mm )の許容誤差範囲内で約0.0025インチ(0.
064mm )の深さ「D」まで機械加工される。
【0029】前記表面のパターンは、研磨材吹付け(ab
rasive blasting )、化学研磨、電界研磨(electro po
lishing )、あるいは、これらの組合せを選択的に使用
することによっても得られる。また、このパターンは、
刻付け(knurling)や型押し(stamping)によっても得
られる。
【0030】この正方形パターン44は、リフトピンホ
ール42a, 42b, 42c, 42d及び縁部フランジ
すなわち隆起部(ledge )45以外の全表面を覆ってい
る。前記リフトピンホール(直径約0.313 インチすなわ
ち7.95mm)は、基準点(datum )から対称的に離隔配置
され、その下側のリフトフィンガの位置に合うように離
隔配置されている。
【0031】縁部フランジ45は、ディンプル50の表
面の上方に約0.030 インチ(0.76mm)延びており、厚さ
約0.055 インチ(1.4mm )の周フランジ(perimeter fl
ange)を形成する。フランジの内側表面は、変位したウ
ェハをサセプタの表面に案内することを助けることので
きる約30°の角度で傾斜している。フランジ45は7.
934 インチ(201.5mm )の内径を有する。サセプタ部分
の陽極処理あるいは研磨材吹付けの間に、フランジの角
部は丸められる。サセプタの背面の中央に置かれている
サセプタハブ(図示せず)は、サセプタをその支持アー
ム及びアース(electrical ground )に結合させてい
る。前記サセプタの接地結線は、サセプタ27のハブ
(28)及びサセプタ支持アーム22から図1に示され
た種類の接地されたチャンバ壁につなげられている。
【0032】六角形ディンプルのパターン付きサセプタ
54は、図7、図8及び図9に示されている。材料及び
処理は、正方形パターンディンプル付きサセプタ(squa
re pattern dimpled susceptor)について前述したもの
と同等である。しかし、六角形ディンプルパターンは、
機械加工によって製造されるのではなく、サセプタ表面
54から突出する一連の円形ディンプル60を残してい
るサセプタ表面のパターンをフォトエッチングすること
によって製造される。前記ディンプルは、隣り合うディ
ンプルの中心間の間隔(寸法「E」)が等しい正六角形
パターンを形成する。ディンプル60の公称直径は、図
9上で寸法「F」と示されており、そのディンプルは高
さ「D」を有している。
【0033】図7、図8及び図9で示される構造では、
寸法「E」は0.060 インチ(1.52mm)、寸法「F」は0.
030 インチ(0.76mm)そして寸法「D」は0.0025インチ
(0.06mm)である。六角形ディンプルパターン付きサセ
プタは、前述の正方形ディンプルパターン付きサセプタ
について述べたものと同様なリフトフィンガホール56
a,56b,56c,56d を有する。同様に、六角形ディン
プルパターンサセプタ54は、前述した正方形ディンプ
ルパターン付きサセプタについて述べた縁部フランジと
同じ縁部フランジを有する。
【0034】図7、図8及び図9に示されたものと類似
しているが異なる寸法を有する別の六角形ディンプルパ
ターン構造も利用することが可能である。このような他
の構造では、寸法「E」は0.050 インチ(1.27mm)、寸
法「F」は0.010 インチ(0.25mm)そして寸法「D」は
0.0025インチ(0.06mm)となる。六角形ディンプルパタ
ーン付きサセプタは、前述した正方形ディンプルパター
ン付きサセプタに関して述べたものと類似したリフトフ
ィンガホールを有している。同様に、この六角形ディン
プルパターン付きサセプタは、前述した正方形ディンプ
ルパターン付きサセプタに対して記述した縁部フランジ
と同等の縁部フランジを有している。図9が、前記他の
構造を更に拡大して示すように修正されるとすれば、個
々のディンプルの全輪郭がディンプル間の開口(openin
g )を通して見られるであろう。
【0035】静電固着力を低減させるのに用いられる別
のパターンを図10に示す。図10は、サセプタの中央
部から広がっているように見える円形の波形パターンの
断面を示している。波の寸法は実際のスケールと比較し
てかなり誇張されている。サセプタの厚さは約0.233 イ
ンチ(5.92mm)(「H」)であるのに対し、谷から山ま
での波の振幅「D」はほんの0.0025インチ(0.06mm)で
あり、およそ90対1の比となる。このことは、図10
に示された3対1の比からなる不正確な形と対照的であ
る。
【0036】200mmウェハを処理するためのサセプタ
65が示されている。サセプタの径は約8.053 インチ
(204.55mm)である。サセプタの厚さ(「H」)は、約
0.233インチ(5.92mm)であり、縁部フランジ72はサ
セプタの表面から約0.040 インチ(1.02mm)(「G」)
延びている。縁部フランジ72の内径は、約7.936 イン
チ(201.57mm)であり、その内側表面では15°の傾斜
を有している(傾斜は図9には示されていない)。前記
波の山(crests)は、ウェハが置かれ得る仮想平面に整
列されている。この構造では、谷「I」同士間の距離及
び山「J」同士間の距離は共にほぼ一定で、約0.59イン
チ(15mm)である。山及び谷の曲率半径(radius)も、
図11で示される寸法「K」によって表されるようにほ
ぼ一定である。この寸法「K」は、約8.703 インチ(22
1.06mm)である。一方から他方へ滑らかに遷移するこの
ような曲率半径を継続すれば、波の山の寸法に対して山
間の寸法の半分に等しい谷底を生じない(Running such
radiuses smoothly transitioning one into the does
not yield a trough bottom to wave crest dimension
equal to one-half the crest to crest ("K") dimens
ion )。そのため、勾配の滑らかな連続変化により遷移
が与えられる。外周部の山63は、約7.813インチ(19
8.45mm)の径で境界が定められている(terminate )の
に対し、サセプタの中央部に最も近い谷は、0.143 イン
チ(3.63mm)(図10において寸法「L 」)の径で境界
が定められている。
【0037】サセプタ65は、4つのリフトフィンガホ
ールを含み、そのうちの2つだけ(67a,67b )が図10
に示されている。これらのホールは、前述のリフトフィ
ンガホールに類似している。
【0038】サセプタ65は、その背面上の中央に位置
するハブ69を含んでいる。ハブは、円筒形である(約
0.700 インチの直径を有する)が、一側には平坦部を有
している(図10を見たときハブの左側)。ハブにおけ
る平坦部は、ハブの位置合わせを容易にし、熱電対をサ
セプタ背面上に配置し固定するのに役立つ。熱電対ホー
ル(凹部)70は、サセプタ65の背面に位置してお
り、処理中のサセプタの温度を監視することを可能にす
る。熱電対凹部70は、約0.15インチ(3.81mm)の深さ
を有しており、熱電対の径部分を受けられるように穿設
されており、更に、ねじが切られ、同様なねじが切られ
た熱電対端部を保持できるようにしても良い。
【0039】図12は、波形パターン付きサセプタ表面
の実施例を示している。前記サセプタ75は、図10に
示されている7つではなく3つの山を有している。波の
深さ「D」は、0.0025インチ(0.06mm)である。これも
また図10と同様に、波の鉛直方向の比率は誇張されて
いる。最も外側の山78は、8.7033インチ(221.06mm)
の半径で境界が定められている。周縁部に隣接する最初
の谷は、径7.233 インチ(183.46mm)(「P」)の位置
で最も深い底部となっている。周縁部からこの谷に近づ
く波の曲率半径は、前記谷の底部に到達するまでは8.70
33インチ(221.06mm)である。この谷の底部から「P」
内側に向って、波の形状の曲率半径は、中間にある山
「O」に到達するまでは153.53インチ(3899.66mm )の
曲率半径を持つように変化する。中間にある山は、径4.
745 インチ(120.52mm)(「O」)の所に配置されてい
る。波の形状の曲率半径(下向き凹面)は、山の頂部
「O」において58.833インチ(1494.36mm )の曲率半径
を有するように変化する。この下方を向いた凹面は、径
3.211 インチ(81.56mm )を底部に有する中間部の谷
「N」において上方を向いた凹面に遷移する。この上方
を向いた凹面の波の形状は、58.833インチ(1494.36mm
)の曲率半径を維持しつつサセプタの中央に向って続
く。この波の形状は、径1.677 インチ(42.60mm )の所
に配置された内側の山「M」において下方を向いた凹面
に遷移する。この波の曲率半径は、更に連続して遷移し
て行き、サセプタの中央から直径0.143 インチ(3.63m
m)「L」の位置における谷の底部で終結している。波
の山は、ウェハを置くことができる仮想平面板を形成し
ている。周フランジ、リフトピンホール、ハブ及び熱電
対受入れホールの詳細は、図10に対して前述したごと
くである。
【0040】この構造は、式1で使用され且つその相関
関係が式1によって定められているパラメータを認識す
ることによって前記固着力を低減させる方法も定めてい
るものである。固着力の低減は、力(F)を減少させる
ように式1において定義された上記パラメータのうち1
以上を調整することによって達成される。
【0041】本発明では、特別な実施例に関して説明し
たが、当業者であれば、本発明の精神及び特許請求の範
囲から逸脱することなく形態の変更及び詳細部での変更
を行うことができることは認識できるであろう。
【0042】
【発明の効果】本発明は、固着、飛上がり、及びその結
果として生じるウェハの位置ずれという問題、すなわち
従来構成ではウェハが処理位置から動かされるという問
題を大幅に低減または除去する。
【図面の簡単な説明】
【図1】従来技術において生じるような、サセプタから
持ち上げられる過程におけるウェハを示す処理チャンバ
の断面図を示している。
【図2】図1の配置からのウェハ持上げの進み具合を示
し、ここではウェハがサセプタから分離されているとこ
ろを示している。
【図3】本発明による正方形パターンディンプル付きサ
セプタの平面図を示す。
【図4】図3に示された正方形ディンプル付きパターン
の4-4 部の拡大図を示す。
【図5】図4に示される正方形ディンプル付きパターン
の機械加工直後における5-5 線断面図を示す。
【図6】鋭い角部を丸くする処理をした後の図5の正方
形ディンプルを示す。
【図7】本発明による六角形パターンディンプル付きサ
セプタの平面図を示す。
【図8】図7に示す六角形ディンプル付きパターンの7-
7 部の拡大図を示す。
【図9】図8に示す六角形ディンプル付きパターンの8-
8 線に沿っての拡大断面図を示す。
【図10】本発明によるサセプタの断面図であり、サセ
プタ中央部から発生しているように見える、7つの山を
含む波からなるかなり誇張された表面パターンを示す。
【図11】図10の波の谷の曲率半径(trough radius
)を示す9A-9A 部の拡大図である。
【図12】本発明によるサセプタの断面図であり、サセ
プタ中央部から発生しているように見える、3つの山を
含む波からなるかなり誇張された表面パターンを示す。
【符号の説明】
20…処理チャンバ、22…サセプタ支持アーム、24
…リフトフィンガ、27…サセプタ、28…ハブ、30
…リフトピンホール、33…ガス分配プレート、35…
ウェハ、40…正方形ディンプルパターンサセプタ、4
2…リフトピンホール、44…ディンプルパターン、4
5…縁部フランジ、50…ディンプル、54…サセプ
タ、56…リフトフィンガホール、60…円形ディンプ
ル、63…周縁における山、65…サセプタ、67…リ
フトフィンガホール、69…ハブ、70…熱電対用ホー
ル、72…縁部フランジ、75…サセプタ、78…最も
外側にある山。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クレイグ エー. バーカウ アメリカ合衆国, カリフォルニア州 94086, サニーヴェール, アシロマー テラス 983−5 (72)発明者 チャールズ ドーンフェスト アメリカ合衆国, カリフォルニア州 94538, フレモント, ホワイトキャッ プ ウェイ 39654

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 高い領域と低い領域からなるパターンを
    含む頂部表面(topsurface )を有する略平坦なプレー
    トを備えており、 前記高い領域が一組の最上部表面を有し、 前記最上部表面の組が、基板を前記プレートの前記頂部
    表面に載置しているときに前記基板を支持する仮想平面
    を全体で(collectively)画成する、基板を支持するた
    めの装置。
  2. 【請求項2】 フォトエッチングによって前記パターン
    が前記表面上に形成される、請求項1記載の基板を支持
    するための装置。
  3. 【請求項3】 前記低い領域の上方に仮想平面を形成す
    る前記高い領域は、その上に支持される基板と、全基板
    面積の50%以下の接触面積で実質的に接触している、
    請求項1記載の基板を支持するための装置。
  4. 【請求項4】 前記接触面積が前記全基板面積の30%
    以下である、請求項3記載の基板を支持するための装
    置。
  5. 【請求項5】 前記高い領域が、中心点から広がってい
    るように見える円形の波形パターンとなっている波の山
    (crests of waves )を含んでいる、請求項1記載の基
    板を支持するための装置。
  6. 【請求項6】 プラズマ増強型化学蒸着(plasma enhan
    ced chemical vapordeposition )基板を処理する処理
    チャンバにおいて、 処理ガスを前記処理チャンバ内に導入するガス分配プレ
    ート(gas distribution plate)と、 前記ガス分配プレートに対向して配置されているサセプ
    タとを備えており、 前記サセプタは電気的に接地され、前記ガス分配プレー
    トと前記サセプタとの間にプラズマを生成するように前
    記ガス分配プレートは荷電され、 基板が前記サセプタ上に配置されるとき、前記基板はガ
    ス構成反応物の生成物(product of gas constituent r
    eactants)で被覆され、 前記サセプタは、低い領域の上方に、高い領域からなる
    パターンを有するウェハ支持面を含み、前記高い領域
    は、前記低い領域の上方に前記ウェハを支持することが
    でき且つ前記低い領域から離隔されている仮想平面を画
    成している、プラズマ増強型化学蒸着基板処理チャン
    バ。
  7. 【請求項7】 前記パターンが実質的に繰り返されてい
    る、請求項6記載のプラズマ増強型化学蒸着基板処理チ
    ャンバ。
  8. 【請求項8】 化学的切削加工(chemical milling)に
    よって前記パターンは前記表面上に形成される、請求項
    6記載のプラズマ増強型化学蒸着基板処理チャンバ。
  9. 【請求項9】 フォトエッチングによって前記パターン
    は前記表面上に形成される、請求項6記載のプラズマ増
    強型化学蒸着基板処理チャンバ。
  10. 【請求項10】 前記低い領域の上方に仮想平面を形成
    する前記高い領域は、その上に支持される基板と、全基
    板面積の50%以下の接触面積で実質的に接触してい
    る、請求項6記載のプラズマ増強型化学蒸着基板処理チ
    ャンバ
  11. 【請求項11】 前記接触面積が前記全基板面積の30
    %以下である、請求項10記載のプラズマ増強型化学蒸
    着基板処理チャンバ。
  12. 【請求項12】 前記高い領域が、中心点から広がって
    いるように見える円形の波形パターンとなっている波の
    山を含んでいる、請求項6記載のプラズマ増強型化学蒸
    着基板処理チャンバ。
  13. 【請求項13】 半導体処理チャンバ内で用いられる基
    板支持面の表面上にパターンを形成する方法であって、 エッチングのために基板支持面を用意するステップと、 半導体基板を支持するのに用いられる前記基板支持面
    を、フォトエッチング技術を用いて所定のパターンでエ
    ッチングするステップ、とを備える方法。
  14. 【請求項14】 前記パターンが、基板を載置すること
    ができる仮想平面を形成する頂部表面を有する一連のデ
    ィンプル(dimples )を備える、請求項13記載の半導
    体処理チャンバ内で用いられる基板支持面の表面上にパ
    ターンを形成する方法。
  15. 【請求項15】 前記ディンプルが、矩形パターンで略
    矩形となっている、請求項14記載の半導体処理チャン
    バ内で用いられる基板支持面の表面上にパターンを形成
    する方法。
  16. 【請求項16】 前記ディンプルが、略円形であり、六
    角形パターンで配列されている、請求項14記載の半導
    体処理チャンバ内で用いられる基板支持面の表面上にパ
    ターンを形成する方法。
  17. 【請求項17】 基板とその支持体との間の固着力を低
    減する方法であって、 前記基板とその支持体との間の固着力(sticking forc
    e)を式1によって定義するステップと、 式1の前記固着力を低減させるパラメータのうちの少な
    くとも一つを変えることによって前記固着力を低減させ
    るステップ、とからなる方法。
  18. 【請求項18】 前記固着力を低減させるために式1の
    有効平均距離X(effective average distance X)が増
    大される、請求項17記載の基板とその支持体との間の
    固着力を低減させる方法。
JP12023095A 1994-05-18 1995-05-18 プラズマ増強型化学蒸着基板処理チヤンバ Expired - Fee Related JP4014233B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/246015 1994-05-18
US08/246,015 US5531835A (en) 1994-05-18 1994-05-18 Patterned susceptor to reduce electrostatic force in a CVD chamber

Publications (2)

Publication Number Publication Date
JPH0870034A true JPH0870034A (ja) 1996-03-12
JP4014233B2 JP4014233B2 (ja) 2007-11-28

Family

ID=22929000

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12023095A Expired - Fee Related JP4014233B2 (ja) 1994-05-18 1995-05-18 プラズマ増強型化学蒸着基板処理チヤンバ

Country Status (4)

Country Link
US (1) US5531835A (ja)
EP (1) EP0683505A1 (ja)
JP (1) JP4014233B2 (ja)
KR (1) KR100236219B1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313898A (ja) * 2001-02-08 2002-10-25 Tokyo Electron Ltd 基板載置台およびその製造方法ならびに処理装置
US6890383B2 (en) 2001-05-31 2005-05-10 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafer and susceptor used therefor
WO2005104204A1 (ja) * 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. 熱処理装置
JP2006019572A (ja) * 2004-07-02 2006-01-19 Ricoh Co Ltd 半導体製造装置及び半導体製造方法
JP2007116150A (ja) * 2005-10-18 2007-05-10 Asm Japan Kk 基板保持装置
WO2009064974A3 (en) * 2007-11-14 2009-08-06 Varian Semiconductor Equipment Embossed electrostatic chuck
JP2011515854A (ja) * 2008-03-20 2011-05-19 アプライド マテリアルズ インコーポレイテッド ロール成形表面を有するサセプター、及び同サセプターを形成する方法
KR20170088419A (ko) * 2014-11-28 2017-08-01 아익스트론 에스이 기판 유지 장치

Families Citing this family (566)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US6544379B2 (en) 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5825607A (en) * 1996-05-08 1998-10-20 Applied Materials, Inc. Insulated wafer spacing mask for a substrate support chuck and method of fabricating same
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JP3160229B2 (ja) * 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6576064B2 (en) * 1997-07-10 2003-06-10 Sandia Corporation Support apparatus for semiconductor wafer processing
US6021152A (en) * 1997-07-11 2000-02-01 Asm America, Inc. Reflective surface for CVD reactor walls
TW524873B (en) 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6530994B1 (en) 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6007635A (en) * 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6187103B1 (en) * 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6140616A (en) * 1998-09-25 2000-10-31 Aehr Test Systems Wafer level burn-in and test thermal chuck and method
JP3846092B2 (ja) * 1999-02-24 2006-11-15 松下電器産業株式会社 プラズマ処理装置および方法
US6783627B1 (en) 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6544339B1 (en) 2000-03-22 2003-04-08 Micro C Technologies, Inc. Rectilinear wedge geometry for optimal process control in chemical vapor deposition and rapid thermal processing
US6310323B1 (en) 2000-03-24 2001-10-30 Micro C Technologies, Inc. Water cooled support for lamps and rapid thermal processing chamber
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6506994B2 (en) 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
JP4094262B2 (ja) * 2001-09-13 2008-06-04 住友大阪セメント株式会社 吸着固定装置及びその製造方法
US6655909B2 (en) 2001-11-30 2003-12-02 Visteon Global Technologies, Inc. High flow fuel pump
CN100472747C (zh) 2002-03-05 2009-03-25 株式会社日立工业设备技术 真空中的衬底保持方法和装置、液晶显示装置制造方法
JP4161028B2 (ja) * 2002-03-06 2008-10-08 株式会社日立国際電気 マルチサービス無線通信システム
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
EP1500984B1 (en) * 2003-07-23 2014-02-26 ASML Netherlands B.V. Article holder for a lithographic apparatus
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
WO2005081283A2 (en) * 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
JP2008512855A (ja) * 2004-09-04 2008-04-24 アプライド マテリアルズ インコーポレイテッド 高さを減じた基板キャリア
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
KR100732467B1 (ko) 2005-09-06 2007-06-27 주식회사 티씨케이 반도체 웨이퍼 실장용 서셉터
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
TW200725784A (en) * 2005-11-21 2007-07-01 Applied Materials Inc Apparatus and methods for a substrate carrier having an inflatable seal
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
KR100780749B1 (ko) * 2006-12-28 2007-11-30 주식회사 단성일렉트론 써셉터 제조방법 및 이를 이용한 써셉터
KR100831241B1 (ko) * 2006-12-28 2008-05-22 주식회사 단성일렉트론 써셉터 제조방법 및 이를 이용한 써셉터
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100938874B1 (ko) 2007-07-24 2010-01-27 주식회사 에스에프에이 유리기판 지지용 서셉터 및 그 제조 방법, 그리고 그유리기판 지지용 서셉터를 구비한 화학 기상 증착장치
US7993462B2 (en) * 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20100107974A1 (en) * 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110220289A1 (en) * 2008-12-02 2011-09-15 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Member for plasma treatment apparatus and production method thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9570328B2 (en) * 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5510411B2 (ja) * 2010-08-11 2014-06-04 Toto株式会社 静電チャック及び静電チャックの製造方法
JP5454803B2 (ja) * 2010-08-11 2014-03-26 Toto株式会社 静電チャック
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
DE102012207475A1 (de) * 2012-05-07 2013-11-07 Osram Opto Semiconductors Gmbh Substratträger, vorrichtung zum aufnehmen mindestens eines substrats, vorrichtung zum beschichten von substraten, system und verfahren zum herstellen eines substratträgers
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
CN107109688A (zh) * 2015-01-23 2017-08-29 应用材料公司 用于在晶片中消除沉积谷的新基座设计
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
USD859484S1 (en) 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD927575S1 (en) * 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7230679B2 (ja) * 2019-05-15 2023-03-01 住友電気工業株式会社 半導体素子の製造方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS596555A (ja) * 1982-07-05 1984-01-13 Hitachi Ltd ウエハ吸着固定台
JPS62193139A (ja) * 1986-02-19 1987-08-25 Canon Inc ボ−ル接触型ウエハチヤツク
JPH03179735A (ja) * 1989-12-07 1991-08-05 Tokyo Electron Ltd プラズマ処理装置
JPH03194948A (ja) * 1989-12-22 1991-08-26 Tokyo Electron Ltd 静電チャック
JPH04206545A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 保持装置およびそれを用いた半導体製造装置
JPH056933A (ja) * 1991-06-27 1993-01-14 Kyocera Corp セラミツク製静電チヤツク
JPH05152702A (ja) * 1991-11-28 1993-06-18 Toshiba Corp プリント配線板
JPH05190767A (ja) * 1992-01-14 1993-07-30 Rohm Co Ltd 半導体装置
JPH05218190A (ja) * 1992-02-05 1993-08-27 Seiko Epson Corp 半導体装置の製造方法
JPH05291187A (ja) * 1992-04-07 1993-11-05 Tokyo Electron Yamanashi Kk プラズマ処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
JPH0697676B2 (ja) * 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
FR2661039B1 (fr) * 1990-04-12 1997-04-30 Commissariat Energie Atomique Porte-substrat electrostatique.
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5266527A (en) * 1991-09-17 1993-11-30 Texas Instruments Incorporated Conformal wafer chuck for plasma processing having a non-planar surface
NL9300389A (nl) * 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS596555A (ja) * 1982-07-05 1984-01-13 Hitachi Ltd ウエハ吸着固定台
JPS62193139A (ja) * 1986-02-19 1987-08-25 Canon Inc ボ−ル接触型ウエハチヤツク
JPH03179735A (ja) * 1989-12-07 1991-08-05 Tokyo Electron Ltd プラズマ処理装置
JPH03194948A (ja) * 1989-12-22 1991-08-26 Tokyo Electron Ltd 静電チャック
JPH04206545A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 保持装置およびそれを用いた半導体製造装置
JPH056933A (ja) * 1991-06-27 1993-01-14 Kyocera Corp セラミツク製静電チヤツク
JPH05152702A (ja) * 1991-11-28 1993-06-18 Toshiba Corp プリント配線板
JPH05190767A (ja) * 1992-01-14 1993-07-30 Rohm Co Ltd 半導体装置
JPH05218190A (ja) * 1992-02-05 1993-08-27 Seiko Epson Corp 半導体装置の製造方法
JPH05291187A (ja) * 1992-04-07 1993-11-05 Tokyo Electron Yamanashi Kk プラズマ処理装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313898A (ja) * 2001-02-08 2002-10-25 Tokyo Electron Ltd 基板載置台およびその製造方法ならびに処理装置
US6890383B2 (en) 2001-05-31 2005-05-10 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafer and susceptor used therefor
WO2005104204A1 (ja) * 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. 熱処理装置
US7865070B2 (en) 2004-04-21 2011-01-04 Hitachi Kokusai Electric Inc. Heat treating apparatus
JP2006019572A (ja) * 2004-07-02 2006-01-19 Ricoh Co Ltd 半導体製造装置及び半導体製造方法
JP2007116150A (ja) * 2005-10-18 2007-05-10 Asm Japan Kk 基板保持装置
WO2009064974A3 (en) * 2007-11-14 2009-08-06 Varian Semiconductor Equipment Embossed electrostatic chuck
JP2011515854A (ja) * 2008-03-20 2011-05-19 アプライド マテリアルズ インコーポレイテッド ロール成形表面を有するサセプター、及び同サセプターを形成する方法
KR20170088419A (ko) * 2014-11-28 2017-08-01 아익스트론 에스이 기판 유지 장치
JP2017539086A (ja) * 2014-11-28 2017-12-28 アイクストロン、エスイー 基板保持装置

Also Published As

Publication number Publication date
KR100236219B1 (ko) 1999-12-15
US5531835A (en) 1996-07-02
JP4014233B2 (ja) 2007-11-28
EP0683505A1 (en) 1995-11-22
KR950034816A (ko) 1995-12-28

Similar Documents

Publication Publication Date Title
JPH0870034A (ja) 静電力低減のためのパターン付きサセプタ
JP6302000B2 (ja) 静電チャックアセンブリ及びプラズマ処理装置
US9025305B2 (en) High surface resistivity electrostatic chuck
US5530616A (en) Electrostastic chuck
JP2019208025A (ja) 端部均一性制御のための可調整チューニングリングを有するプロセスキット
EP2430654B1 (en) Electrostatic chuck with polymer protrusions
JP4879738B2 (ja) 温度を制御したチャンバシールドの使用によるパーティクルの低減化
KR101519814B1 (ko) 기판 처리를 위한 리프트 핀
JP2009272646A (ja) スパッタリング装置
CN108780773B (zh) 具有改善粒子性能的晶片接触表面突部轮廓
EP0624896A1 (en) Contamination control in plasma contouring the plasma sheath using materials of differing rf impedances
US20090243236A1 (en) Electrostatic chuck and manufacturing method thereof
JP2000323436A5 (ja)
KR101534900B1 (ko) 다중 영역 제어가 가능한 정전식 척
US6217655B1 (en) Stand-off pad for supporting a wafer on a substrate support chuck
JPH056433B2 (ja)
TW201943013A (zh) 在其部分上具有電極之雙極靜電夾具
JP2000216233A (ja) 基板支持チャックにウェ―ハスぺ―シングマスクを製作する方法及び装置
US7025858B2 (en) Apparatus for supporting wafer in semiconductor process
JP2004253402A (ja) 静電チャック装置
JP4338376B2 (ja) 静電チャック装置
TWI646573B (zh) 聚焦環和電漿處理裝置
JPS6156842A (ja) 静電吸着板
JP2514255B2 (ja) 静電チャック
JP6924196B2 (ja) 基板製造用のパターンチャック

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040817

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050728

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050908

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20051104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070405

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070807

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070911

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100921

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110921

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110921

Year of fee payment: 4

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110921

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120921

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130921

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees