JP2019208025A - 端部均一性制御のための可調整チューニングリングを有するプロセスキット - Google Patents

端部均一性制御のための可調整チューニングリングを有するプロセスキット Download PDF

Info

Publication number
JP2019208025A
JP2019208025A JP2019098356A JP2019098356A JP2019208025A JP 2019208025 A JP2019208025 A JP 2019208025A JP 2019098356 A JP2019098356 A JP 2019098356A JP 2019098356 A JP2019098356 A JP 2019098356A JP 2019208025 A JP2019208025 A JP 2019208025A
Authority
JP
Japan
Prior art keywords
ring
adjustable tuning
component
process kit
ring component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019098356A
Other languages
English (en)
Inventor
サロデ ビシュワナス ヨガナンダ
Sarode Vishwanath Yogananda
サロデ ビシュワナス ヨガナンダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019208025A publication Critical patent/JP2019208025A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/21Means for adjusting the focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】基板の表面全域にわたって均一な堆積速度及びエッチング速度を有するプロセスキットを提供する。【解決手段】エッジリング210は、第2リング構成要素230と接続された第1リング構成要素220を有し、この第2リング構成要素を第1リング構成要素に対して移動可能とし、それらの間に間隙を形成する。スライドリング520は、エッジリングの下に配置される。可調整チューニングリング250は、スライドリングの下に配置される。アクチュエータ機構280が可調整チューニングリングの下面256と接続し、可調整チューニングリングを動かすことで、第1リング構成要素と第2リング構成要素との間の間隙を変化させる。【選択図】図5

Description

背景
本明細書に記載の実施形態は、概して基板処理装置に関し、より詳細には基板処理装置のための改良型のプロセスキットに関する。
(関連技術の説明)
半導体技術ノードが小型化されたデバイス形状と共に進歩するにつれて、基板端部の限界寸法均一性の要件はより厳しくなり、ダイの歩留まりに影響を与えている。市販のプラズマリアクタは、基板全体にわたる処理の均一性(例えば、温度、ガス流、高周波電力など)を制御するための複数の調整可能なノブを備える。通常、エッチング処理では、シリコン基板を静電チャックに静電的に固定しながらエッチングする。
処理の間、基板支持体上に載っている基板は、基板上に材料を堆積させて、基板から材料の一部を除去又はエッチングする処理を、しばしば連続処理で又は交互処理で、受けることがある。基板の表面全域にわたって均一な堆積速度及びエッチング速度を有することは、通常は有益である。しかしながら、処理の不均一性がしばしば基板の表面全域にわたって存在し、基板の周辺部又は端部において著しくなる可能性がある。周辺部におけるこれらの不均一性は、電界の終端の影響に起因する場合があり、時にはエッジ効果と呼ばれる。堆積又はエッチングの間、本明細書で論じられ説明されているプロセスキットが提供されて、基板の周辺部又は端部の均一性に有益に影響を及ぼす。プラズマシースは、エッジリングの形状に応じて基板の端部で曲がることがあり、それ故に、イオンはプラズマシースに対して垂直に加速される。プラズマシース内での曲がりによって、イオンを基板の端部に集束又は偏向させ得る。
したがって、基板処理装置のための改良型のプロセスキットが絶えず必要とされている。
概要
本明細書に記載の実施形態は、概して基板処理装置に関する。より具体的には、プロセスキット、処理チャンバ、及び基板を処理する方法が提供される。1つ以上の実施形態では、プロセスキットは基板処理チャンバ用のプロセスキットを備え、このプロセスキットはエッジリング、スライドリング、可調整チューニングリング、及びアクチュエータ機構を備える。エッジリングは、第1リング構成要素と第2リング構成要素とを備える。第1リング構成要素は第2リング構成要素と接続しており、この接続では第2リング構成要素は第1リング構成要素に対して移動可能であり、こうしてそれらの間に間隙を形成している。第2リング構成要素は上面と下面とを有する。スライドリングはエッジリングの下に配置される。スライドリングは上面と下面とを有し、スライドリングの上面は第2リング構成要素の下面と接触する。可調整チューニングリングはスライドリングの下に配置される。可調整チューニングリングは上面と下面とを有し、可調整チューニングリングの上面はスライドリングの下面と接触する。アクチュエータ機構は、可調整チューニングリングの下面と接続している。アクチュエータ機構が、可調整チューニングリングを動かすように構成されることで、第1リング構成要素と第2リング構成要素との間の間隙を変化させる。1つ以上の実施例では、スライドリングはマトリックスとコーティングを含み、マトリックスは1つ以上の導電性材料(例えばアルミニウム)を含み、コーティングは1つ以上の電気絶縁材料(例えば、炭化ケイ素)を含む。
別の諸実施形態では、処理チャンバは、基板を支持するように構成された基板支持部材と、基板支持部材によって支持されたプロセスキットとを備え得る。基板支持部材は、ベース、ベースによって支持された冷却プレート及び/又は冷却プレートの上面に配置された静電チャックを備え得る。
いくつかの実施形態では、基板を処理する方法は、上述のようにプロセスキットを有する処理チャンバ内に配置された基板支持部材上に基板を位置決めする工程を含み得る。方法はさらに、基板上にプラズマを形成する工程と、エッジリングの第2リング構成要素の高さを、第2リング構成要素と接続された可調整チューニングリングを動かすことにより調整して、基板の端部でイオンの方向を変える工程とを含む。可調整チューニングリングの下側アライメントカップリングと第2リングの上側アライメントカップリングとの間に間隙が配置される。方法はまた、第2リング構成要素を動かすことによって間隙の大きさを調整して、可調整チューニングリングと第2リング構成要素との間の容量結合を変化させることを含む。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは、添付の図面に示されている。しかしながら、本開示は他の等しく有効な実施形態を含み得るので、添付図面は本開示の典型的な実施形態を示しているに過ぎず、従ってこの範囲を制限していると解釈されるべきではないことに留意すべきである。
1つ以上の実施形態による、処理チャンバの断面図を示す。 1つ以上の実施形態による、図1Aの処理チャンバ内に収容されているプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、アライメントカップリングを備える様々なエッジリング及び可調整チューニングリングを含む複数のプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、内側に傾斜した上面を有するエッジリングを含むプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、内側に傾斜した又は斜めになった別の上面を有するエッジリングを含む別のプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、エッジリング、スライドリング、及び可調整チューニングリングを含む別のプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、可調整チューニングリングとアクチュエータ機構との間に配置された電気絶縁支持リングを含む別のプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、アクチュエータ機構の配置位置が示されている、可調整チューニングリングの底面図を示す。 1つ以上の実施形態による、アクチュエータ機構を収容するために使用されるスロットを有する可調整チューニングリングを含むプロセスキットの拡大部分断面図を示す。 1つ以上の実施形態による、図8に示す可調整チューニングリングの底面図を示す。
議論を明瞭にするために、適用可能な場合には、図相互間で同一の参照符号を使用して共通の同一要素を示した。さらに、一実施形態の要素を、本明細書に記載の他の実施形態で使用するために、有益に適合させてもよい。
詳細な説明
図1Aは、一実施形態による、可調整チューニングリングを有する処理チャンバ100の断面図である。図示のように、処理チャンバ100は、基板150などの基板をエッチングするのに適したエッチングチャンバである。本開示から恩恵を得るように適合され得る処理チャンバの例には、Sym3(登録商標)処理チャンバ、C3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバーがある。これらは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されている。堆積チャンバ及び他の製造業者からのチャンバを含む他の処理チャンバも、本開示から恩恵を得るように適合され得ることが企図される。
処理チャンバ100は、チャンバ本体101及びその上に配置された蓋103を備えており、これらが合わさって内部容積130を画定する。チャンバ本体101は、典型的にはアース107に接地されている。基板支持部材180(例えば、基板支持アセンブリ)は、内部容積130内に配置されて、処理の間に基板150をその上に支持する。処理チャンバ100はまた、処理チャンバ100内にプラズマを発生させるための誘導結合プラズマ装置102と、処理チャンバ100の例を制御するのに適したコントローラ155とを備える。
基板支持部材180は、整合ネットワーク120を介してバイアス源119に接続された1つ以上の電極153を備えて、処理の間の基板150のバイアスを容易にする。バイアス源119は、例えば、約13.56MHzの周波数で、最大約1,000W(ただし、約1,000Wに限定されない)の高周波エネルギー源であってもよい。ただし、特定の用途に応じて他の周波数及び電力を供給してもよい。バイアス源119は、連続電力又はパルス電力の一方又は両方を生成できてもよい。いくつかの実施例では、バイアス源119は、DC又はパルスDC源であってもよい。いくつかの実施例では、バイアス源119は、複数の周波数を供給できてもよい。1つ以上の電極153をチャッキング電源160に接続して、処理の間の基板150のチャッキングを容易にしてもよい。
誘導結合プラズマ装置102は、蓋103の上方に配置されており、高周波電力を処理チャンバ100内に誘導結合するように構成されて、処理チャンバ100内にプラズマを発生させる。誘導結合プラズマ装置102は、蓋103の上方に配置された第1及び第2コイル110、112を備える。各コイル110、112の相対位置、直径比、及び/又は巻き数は、それぞれ所望に応じて調整されて、形成されるプラズマの分布又は密度を制御し得る。第1及び第2コイル110、112の各々は、高周波給電構造106を介し、整合ネットワーク114を通って高周波電源108に接続されている。高周波電源108は、例示的に、50kHzから13.56MHzの範囲で調整可能な周波数で最大約4,000W(ただし、約4,000Wに限定されない)を発生し得る。ただし、特定の用途に応じて他の周波数及び電力を利用してもよい。いくつかの実施例では、分割コンデンサなどの電力分配器105を、高周波給電構造106と高周波電源108との間に設けて、第1及び第2コイルのそれぞれに供給される高周波電力の相対量を制御してもよい。いくつかの実施例では、電力分配器105は、整合ネットワーク114に組み込まれてもよい。
加熱素子113を蓋103の上に配置して、処理チャンバ100の内部の加熱を容易にしてもよい。加熱素子113は、蓋103と、第1及び第2コイル110、112との間に配置してもよい。いくつかの実施例では、加熱素子113は抵抗加熱素子を含んでもよく、十分なエネルギーを供給するように構成されたAC電源などの電源115に接続されて、加熱素子113の温度を所望の範囲内に制御してもよい。
動作の間には、半導体ウェハ又はプラズマ処理に適した他の基板などの基板150を基板支持部材180上に配置し、処理ガスをガスパネル116から入口ポート117を通ってチャンバ本体101の内部容積130に供給する。処理ガスは、高周波電源108から第1及び第2コイル110、112に電力を印加することによって処理チャンバ100内で点火されてプラズマ118になる。いくつかの実施例では、高周波源又はDC源などのバイアス源119からの電力もまた、整合ネットワーク120を介して基板支持部材180内の電極153に供給してもよい。処理チャンバ100の内部の圧力を、弁121と真空ポンプ122を用いて制御してもよい。チャンバ本体101の温度を、チャンバ本体101を通る液体含有導管(図示せず)を用いて制御してもよい。
処理チャンバ100を様々なプラズマ処理に使用してもよい。一実施形態では、処理チャンバ100を使用して、1つ以上のエッチング剤でドライエッチングを実行してもよい。例えば、処理チャンバ100を使用して、1つ以上の前駆体又は処理ガス、例えば1つ以上のフルオロカーボン(例えば、CF又はC)、O、NF、N、Ar、He、又はそれらの組み合わせから、プラズマを点火してもよい。
処理チャンバ100は、コントローラ155を備えて、処理の間に処理チャンバ100の動作を制御する。制御装置155は、中央処理装置(CPU)123、メモリ124、及びCPU123のためのサポート回路125を備えており、処理チャンバ100の構成要素の制御を容易にする。コントローラ155は、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用することができる任意の形態の汎用コンピュータプロセッサのうちの1つであってもよい。メモリ124には、ソフトウェア(ソースコード又はオブジェクトコード)が格納されており、このソフトウェアを実行又は呼び出して、本明細書に記載された方法で処理チャンバ100の動作を制御してもよい。
処理チャンバ100の制御を容易にするために、CPU123は、様々なチャンバ及びサブプロセッサを制御するための、プログラマブルロジックコントローラ(PLC)などの、産業環境で使用することができる任意の形態の汎用コンピュータプロセッサのうちの1つであってもよい。メモリ124はCPU123に接続されており、メモリ124は非一時的なものであり、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又は任意の他の形態のローカル又はリモートのデジタル記憶装置など、容易に入手可能なメモリのうちの1つ以上であってもよい。サポート回路125はCPU123に接続され、通常の方法でプロセッサをサポートする。荷電種の生成、加熱、及び他の処理は、一般にソフトウェアルーチンとしてメモリ124に格納される。ソフトウェアルーチンはまた、第2CPU(図示せず)によって格納及び/又は実行され、この第2CPUが、CPU123によって制御されている処理チャンバ100から離れた位置にあってもよい。
メモリ124は、命令を含むコンピュータ可読記憶媒体の形態をしており、この命令はCPU123によって実行されると、処理チャンバ100の動作を容易にする。メモリ124内の命令はプログラム製品(本開示の方法を実行するプログラムなど)の形態をしている。プログラムコードは、多数の異なるプログラミング言語のいずれかに準拠してもよい。一実施例では、本開示を、コンピュータシステムと共に使用するためのコンピュータ可読記憶媒体に格納されたプログラム製品として実施してもよい。プログラム製品のプログラムは実施形態の機能(本明細書に記載の方法を含む)を定めている。例示的なコンピュータ可読記憶媒体には、以下のものが含まれるが、これらには限定されない。(i)書き込み不可の記憶媒体(例えば、CD−ROMドライブで読み取り可能なCD−ROMディスク、フラッシュメモリ、ROMチップ、又は任意の種類のソリッドステート不揮発性半導体メモリなどの、コンピュータ内の読み取り専用メモリデバイス)。ここには、情報が恒久的に保存されている。(ii)書き込み可能な記憶媒体(例えば、ディスケットドライブ内のフロッピーディスク、又はハードディスクドライブ、又は任意の種類のソリッドステートランダムアクセス半導体メモリ)。ここには、変更可能な情報が格納されている。そのようなコンピュータ可読記憶媒体は、本明細書に記載の方法の機能を指示するコンピュータ可読命令を伝達するならば、本開示の実施形態である。
処理チャンバ100はまた、基板支持部材180上などの内部容積130内に配置されたプロセスキット200を備える。この状況が図1Aに示されている。プロセスキット200及び他のプロセスキットの様々な実施形態が以下に記載される。プロセスキット200は、プラズマ処理の間など、基板150の処理動作の間に使用される。図1B及び図1Cは、処理チャンバ100内で基板支持部材180を備えるプロセスキット200の拡大部分断面図を示す。
基板支持部材180は、静電チャック(ESC)202、冷却プレート(又はカソード)204、ベース206、及びカソードスタック212を備える。冷却プレート204はベース206上に配置されている。冷却プレート204は、複数の冷却流路(図示せず)を備え、そこを通して冷却液を循環させ得る。冷却プレート204は、接着剤又は他の適切な機構によって静電チャック202に接着又は係合されてもよい。1つ以上の電源208を冷却プレート204に接続してもよい。電源は、高周波(RF)、交流(AC)及び/又は直流(DC)の電源及び/又は給電であり得るか、又はそれらを備え得る。静電チャック202は、1つ以上のヒータ(図示せず)を備えてもよい。1つ以上のヒータは独立して制御可能であってもよい。1つ以上のヒータがあることで、静電チャック202は基板150を所望の温度に加熱し得る。
プロセスキット200は、第1リング構成要素220及び第2リング構成要素230を含むエッジリング210を備えて、環状体を形成する。第1リング構成要素220及び第2リング構成要素230は、別々に、1つ以上の電気絶縁材料から製作され得るか、又は含み得る。この電気絶縁材料は、例えば、炭化ケイ素、酸化ケイ素、石英、又はそれらの任意の組み合わせである。2つのリング構成要素220、230は互いに接続されており、この接続では第2リング構成要素230は第1リング構成要素220に対して移動可能である。
図1Cに示すように、第1リング構成要素220は、上面218、下面219、内縁222、及び外縁224を備える。上面218は下面219と実質的に平行である。内縁222は、外縁224と実質的に平行であり、下面219と実質的に垂直である。第1リング構成要素220は、その中に画定された段付き表面226をさらに備える。図示の実施形態では、段付き表面226を外縁224に形成しているが、段付き表面226を下面219と実質的に平行にしている。段付き表面226は、第2リング構成要素230を受けるための凹部を画定する。一般に、第1リング構成要素220の高さは、静電チャック202の高さによって制限される。例えば、第1リング構成要素220の内縁222は、静電チャック202の高さを超えて延在しない。こうして、第1リング構成要素220は静電チャック202の側面を保護する。いくつかの実施形態では、基板150は、静電チャック202上に配置されると、第1リング構成要素220の上(上面218の上など)に部分的に延在する。
第2リング構成要素230は、上面228、下面231、内縁232、及び外縁234を備える。上面228は下面231と実質的に平行である。内縁232は、外縁234と実質的に平行であり、下面231と実質的に垂直である。一実施形態では、第2リング構成要素230は、下面231を介して第1リング構成要素220と接続している。例えば、第1リング構成要素220の段付き表面226は、第2リング構成要素230の下面231の少なくとも一部分と接続する。第2リング構成要素230の内縁232は、第1リング構成要素220と接続すると、基板150から離間している。例えば、第2リング構成要素230の内縁232は、基板150から約0.02mmから約0.1mmの間の間隔をあけてもよい。
別の諸実施形態では、接続されているときに、第1リング構成要素220及び第2リング構成要素230は、連続的な下面及び連続的な上面を形成する。この状況が図1Cに示されている。別の一実施形態では、接続されていないときには、第1リング構成要素220及び第2リング構成要素230は、連続的な下面も連続的な上面も形成しない。この状況が図1Dに示されている。むしろ、いくつかの実施形態では、第1リング構成要素220の上面218は、第2リング構成要素230の上面228より高くてもよい。別の諸実施形態では、第2リング構成要素230の下面231は、第1リング構成要素220の下面219の下に位置してもよい。したがって、いくつかの実施形態では、第1リング構成要素220及び第2リング構成要素230は、連続的な上面も下面も形成しない。
プロセスキット200は、上面254及び下面256を有する可調整チューニングリング250をさらに備える。可調整チューニングリング250は、1つ以上の導電性材料から形成されてもよく、さもなくば含んでもよい。例えば、導電性材料は、アルミニウム又は1つ以上のアルミニウム合金であるか又はそれらを含み得る。可調整チューニングリング250は、エッジリング210の下に配置されている。例えば、可調整チューニングリング250は、第2リング構成要素230の下に配置される。可調整チューニングリング250は、第2リング構成要素230の下面231に接触する。一実施形態では、可調整チューニングリング250が、静電チャック202及び冷却プレート204の長さにわたって延在することで、可調整チューニングリング250は、静電チャック202と冷却プレート204とを合わせた高さに実質的に等しい高さを有している。こうして、可調整チューニングリング250は、冷却プレート204からエッジリング210に電力を接続し得る。
可調整チューニングリング250は、冷却プレート204を囲み、この結果として、それらの間に、横方向に離間した間隙258を形成してもよい。一実施例では、横方向に離間した間隙258は、0インチより大きく0.03インチ以下の幅を有する。他の例では、横方向に離間した間隙258の幅は、約0.005インチ、約0.007インチ又は約0.009インチから約0.0010インチ、約0.0013インチ、約0.0015インチ又は約0.0019インチの範囲である。例えば、横方向に離間した間隙258は、約0.007インチから約0.0015インチの幅を有する。可調整チューニングリング250は、リフトピン260と接続する。例えば、リフトピン260は、可調整チューニングリング250と動作可能に連結されてもよい。
1つ以上の実施形態では、基板150の端部におけるプラズマシース201は、可調整チューニングリング250によって第2リング構成要素230に接続された電力を調整することによって調整し得る。ここでは、この可調整チューニングリング250は、第2リング構成要素230の下で、冷却プレート204の近くに、横方向に間隙258だけ離れて配置され、冷却プレート204と容量結合を形成することによって、さらなる高周波電力が可調整チューニングリング250に供給される。
リフトピン260はリフト機構又はアクチュエータ機構280によって駆動される。アクチュエータ機構280は、1つ以上のリフト機構282、1つ以上の密閉ベローズ284、1つ以上のアクチュエータ、1つ以上のコントローラ、及び他の構成要素を備え得る。リフト機構282は、1つ以上のサーボドライブ、サーボモーター、電気モーター、ギア、又はそれらの組み合わせであり得るか又はそれらを含み得る。1つ以上の構成では、アクチュエータ機構280は、サーボドライブ及びアクチュエータアセンブリを備える。これらのサーボドライブ及びアクチュエータアセンブリは、処理チャンバ100の外側又は大気側に取り付けられ、内部容積130の内部を真空に密閉するためのベローズを使用して、アクチュエータ又はリフト機構282に接続されている。
1つ以上の実施形態では、アクチュエータ機構280は、2つ、3つ、4つ、又はそれ以上のリフトピン260を備え、リフトピン260の各々は、第1端部と第2端部とを有し、リフトピン260の第1端部は、可調整チューニングリング250の下面256に接触し、リフトピン260の第2端部は、リフト機構282と連通している。アクチュエータ機構280によって、可調整チューニングリング250の処理チャンバ100内での垂直方向の移動が可能になる。調整リング250の垂直方向の移動の結果として、アクチュエータ機構280は、第2リング構成要素230を上昇、下降、さもなくば移動させる。
図1Dに示すように、第2リング構成要素230は第1リング構成要素220より上に持ち上げられてもよい。こうして、第1リング構成要素220の段付き表面226と第2リング構成要素230の下面231との間に間隙237が形成される。間隙237は、約0mm、約1mm、約2mm又は約3mmから約5mm、約7mm、約10mm又は約12mmの範囲にあり得る。アクチュエータ機構280が、可調整チューニングリング250の下面256と接続し、アクチュエータ機構280が、可調整チューニングリング250を動かすように構成されることで、第1リング構成要素220と第2リング構成要素230との間の間隙237を変化させる。
一実施形態では、可調整チューニングリング250は、可調整チューニングリング250の上面254上に形成されるか、さもなくば配置されるコーティングを備え得る。例えば、コーティングは、酸化イットリウムコーティング又はゲル状コーティングであるか、又はそれらを含んでもよい。コーティングを使用して、プラズマと可調整チューニングリング250との間の化学反応を制限し、こうして、微粒子の発生とリングの損傷を制限する。別の一実施形態では、1つ以上の誘電体パッド(例えば、ポリテトラフルオロエチレンを含むパッド)が、エッジリング210と静電チャック202との間に配置される。
プロセスキット200はまた、カバーリングアセンブリ270、環状体276、及びそれらの間に配置されたプラズマスクリーン278を備える。カバーリングアセンブリ270は環状形状を有し、カバーリング272とスリーブ274とを備える。カバーリング272及びスリーブ274は、別々に、石英材料又は他の耐プラズマ性材料から製造され得るか、又は含み得る。例えば、カバーリング272を石英リングとすることができ、スリーブ274を石英管とすることができる。
1つ以上の実施形態では、図1C及び図1Dに示すように、プラズマシース201が、処理チャンバ100のプロセスキット200内の基板150及びエッジリング210の一部を覆って形成される。電圧VDCを使用して、基板150の端部でのプラズマシース201の分布を制御し、基板150の端部における限界寸法均一性を補償する。プラズマシース201は、空間電荷によって形成された強い電界の薄い領域であり、この空間電荷はプラズマの本体をその材料境界に結びつけている。数学的には、シースの厚さdは、以下のチャイルド・ラングミュアの式で表される。
ここで、iはイオン電流密度、εは真空の誘電率、eは電気素量、Vはプラズマ電位、VDCは直流電圧である。
エッチングリアクタの場合、プラズマシース201は、プラズマと、エッチングされる基板150、チャンバ本体101、プラズマと接触するプロセスキット200及び処理チャンバ100のあらゆる他の部分との間に形成される。プラズマ内で発生したイオンはプラズマシース内で加速され、プラズマシースに対して垂直に移動する。VDCを制御することで、すなわちエッジリング210に印加される電圧を制御することで、プラズマシース201の厚さdは影響を受ける。プラズマシース201のシース厚さdは、エッジリング210に対して測定してもよい。例えば、厚さdが図1C及び図1Dに示されている。図示の実施形態では、可調整チューニングリング250を動かすと、第2リング構成要素230が上昇する。VDCは一定のままなので、エッジリング210上のシースの厚さも一定のままである。したがって、可調整チューニングリング250を動かすと、シースの厚さに影響を与えることなくプラズマシース201が垂直に持ち上がる。したがって、可調整チューニングリング250を動かすことは、基板150の端部におけるプラズマシース201の形状に影響を及ぼし、これは次に、プラズマイオンの方向を制御する。
図1Dは、図1Cの処理チャンバ100内のプロセスキット200の一部を示す。ここでは、第2リング構成要素230は上昇位置にある。図1Cに図示され、また論じられているように、可調整チューニングリング250を上げることで、第2リング構成要素230が持ち上がり、これは次に、プラズマシース201を持ち上げる。静電容量がほぼ一定である結果として、電位VDCもほぼ一定であるため、プラズマシース201の厚さdは全体を通して一定のままである。
図2A〜図2Jは、1つ以上の実施形態による、プロセスキット200a〜200jの拡大部分断面図を示す。これらのプロセスキットは、エッジリング210と可調整チューニングリング250との接続面に配置されたアライメントカップリングを備える。プロセスキット200a〜200jの各々は、プロセスキット200を完全に又は部分的にプロセスキット200a〜200jのいずれかと交換することによって、処理チャンバ100内で使用し得る。
プロセスキット200a〜200jの各々は、第1リング構成要素220及び第2リング構成要素230を有するエッジリング210を備える。第1リング構成要素220を第2リング構成要素230と接続させ、この接続では(図1Dに示されるように)それらの間に間隙237を形成するために、第2リング構成要素230を第1リング構成要素220に対して移動可能とし得る。例えば、間隙237は、第1リング構成要素220の段付き表面226と第2リング構成要素230の下面231との間に形成され得る。可調整チューニングリング250の上面254及び第2リング構成要素230の下面231は、互いに係合、さもなくば接触し得る。
第2リング構成要素230の下面231は、上側アライメントカップリング236を備え、可調整チューニングリング250の上面254は、下側アライメントカップリング252を備える。可調整チューニングリング250の下側アライメントカップリング252は、第2リング構成要素230の上側アライメントカップリング236と嵌合して、相互型又は嵌合型の外形を有する接続面を形成し得る。
上側アライメントカップリング236は、雄型又は雌型カップリングとすることができる。下側アライメントカップリング252は、上側アライメントカップリング236とは反対の型のカップリングである。例えば、上側アライメントカップリング236が雄型カップリングである場合、下側アライメントカップリング252は雌型カップリングである。あるいは、上側アライメントカップリング236が雌型カップリングである場合、下側アライメントカップリング252は雄型カップリングである。上側アライメントカップリング236と下側アライメントカップリング252との間に形成された相互型又は嵌合型の外形は、鳩尾状、スプライン、フィン状、三角形、長方形、正方形、台形、円弧状、丸みを帯びた形状、それらの形状の組み合わせ、及びその他の形状を有し得る。
図2Aに示すように、プロセスキット200aでは、上側アライメントカップリング236は、第2リング構成要素230の下面231から延びる鳩尾状又は台形の形状を有する雄型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254に形成された鳩尾状又は台形の形状を有する雌型カップリングである。
図2Bに示すように、プロセスキット200bでは、上側アライメントカップリング236は、第2リング構成要素230の下面231に形成された鳩尾状又は台形の形状を有する雌型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254から延びる鳩尾状又は台形の形状を有する雄型カップリングである。
図2Cに示すように、プロセスキット200cでは、上側アライメントカップリング236は、第2リング構成要素230の下面231から延びる三角形の形状を有する雄型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254に形成された三角形の形状を有する雌型カップリングである。
図2Dに示すように、プロセスキット200dでは、上側アライメントカップリング236は、第2リング構成要素230の下面231に形成された三角形の形状を有する雌型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254から延びる三角形の形状を有する雄型カップリングである。
図2Eに示すように、プロセスキット200eでは、上側アライメントカップリング236は、第2リング構成要素230の下面231から延びる正方形又は長方形の形状を有する雄型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254に形成された正方形又は長方形の形状を有する雌型カップリングである。
図2Fに示すように、プロセスキット200fでは、上側アライメントカップリング236は、第2リング構成要素230の下面231に形成された正方形又は長方形の形状を有する雌型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254から延びる正方形又は長方形の形状を有する雄型カップリングである。
図2Gに示すように、プロセスキット200gでは、上側アライメントカップリング236は、第2リング構成要素230の下面231から延びる円弧状又は丸みを帯びた形状を有する雄型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254に形成された円弧状又は丸みを帯びた形状を有する雌型カップリングである。
図2Hに示すように、プロセスキット200hでは、上側アライメントカップリング236は、第2リング構成要素230の下面231に形成された円弧状又は丸みを帯びた形状を有する雌型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254から延びる円弧状又は丸みを帯びた形状を有する雄型カップリングである。
図2Iに示すように、プロセスキット200iでは、上側アライメントカップリング236は、第2リング構成要素230の下面231から延びるフィン状の形状を有する雄型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254に形成されたフィン状の形状を有する雌型カップリングである。
図2Jに示すように、プロセスキット200jでは、上側アライメントカップリング236は、第2リング構成要素230の下面231に形成されたフィン状の形状を有する雌型カップリングである。下側アライメントカップリング252は、可調整チューニングリング250の上面254から延びるフィン状の形状を有する雄型カップリングである。
フィン状の形状は、雄型カップリング及び/又は雌型カップリングとして、2つ、3つ、又はそれ以上の成形された外形(同じ又は異なる形状)を有し得る。フィン状の形状は、他の形状と同様に、図2A〜図2Jに示す任意のカップリングのフィン状とすることができる。例えば、フィン状の形状は、(図2I及び図2Jに示すように)2つの長方形の形状を含み得る。あるいは、フィン状の形状は、2つの三角形の形状、四角形の形状と三角形の形状の組み合わせ、長方形の形状と鳩尾状の形状の組み合わせ、又はその他の組み合わせを含み得る。
図2A〜図2Jに示すように、可調整チューニングリング250の上面254と第2リング構成要素230の下面231との間に間隙253を配置し得る。より具体的には、間隙253は、可調整チューニングリング250の下側アライメントカップリング252と第2リングの上側アライメントカップリング236との間に配置されている。可調整チューニングリング250を動かし、調節し、さもなくば移動させて、間隙253の大きさを調整し、可調整チューニングリング250と第2リング構成要素230との間の容量結合を変化させる。したがって、可調整チューニングリング250と第2リング構成要素230との間の距離(例えば、間隙253の大きさ)を変えることによって、それらの間の容量結合は比例的に変化する。
1つ以上の実施形態では、2つの異なる状況でプラズマシース201の調整が可能である。一実施例では、間隙253の大きさは、可調整チューニングリング250と第2リング構成要素230との間で可変的に維持又は調整されてもよい。別の一実施例では、可調整チューニングリング250及び第2リング構成要素230は互いに触れて又は接触しており、したがって、それらの間に間隙253は存在しない。
図3は、1つ以上の実施形態による、内側に傾斜した上面228を有するエッジリング210を含むプロセスキット300の拡大部分断面図を示す。図4Aは、1つ以上の実施形態による、内側に傾斜した上面228を有するエッジリング210を含むプロセスキット400aの拡大部分断面図を示す。図4B及び図4Cは、内側に傾斜した上面228を有するエッジリング210を含むプロセスキット400b、400cの拡大部分断面図をそれぞれ示す。プロセスキット300及び400a〜400cの場合、第1リング構成要素220は第2リング構成要素230と接続しており、この接続では第2リング構成要素230は第1リング構成要素220に対して移動可能であり、こうしてそれらの間に間隙253を形成している。プロセスキット300及び400a〜400cのいずれもが処理チャンバ100内で使用し得る。これは、プロセスキット200又はプロセスキット200a〜200jのいずれかを、完全に又は部分的に、プロセスキット300又は400a〜400cのいずれかと交換することによって可能になる。
1つ以上の実施形態では、第2リング構成要素230の上面228の少なくとも一部分は、第1リング構成要素220に向かって内側に傾斜している。一実施形態では、図3に示すように、第2リング構成要素230の上面228は、外縁234から内縁232に向かって内側に傾斜している。別の一実施形態では、図4Aに示すように、第2リング構成要素230の上面228の一部分又は区間は、外縁234から離れた所から内縁232に向かって内側に傾斜している。第2リング構成要素230の上面228は、内側上面229aと外側上面229cとの間に配置された、傾斜した上面229bを有し得る。傾斜した上面229bは、内縁232に向かって(例えば、第1リング構成要素220及び/又は基板150に向かって)内側に傾斜している。内側上面229aと外側上面229cは互いに平行又は実質的に平行であり得る。この状況が図4Aに示されている。あるいは、内側上面229aと外側上面229cは互いに平行ではない(図示せず)。
別の一実施形態では、図4Bに示すように、第2リング構成要素230の上面228の一部分又は区間は、外縁234から離れた所から内縁232に向かって内側に傾斜している。第2リング構成要素230の上面228は、外側上面229cに隣接して配置され、内側に傾斜した又は斜めになった上面229bを有し得る。別の一実施形態では、図4Cに示すように、第2リング構成要素230の上面228の2つ以上の部分又は区間は、外縁234から内縁232へと内側に傾斜している。第2リング構成要素230の上面228は、内側上面229aを有し得る。その隣には、第1の内側に傾斜した又は斜めになった上面229bが、その隣には、第1の外側上面229cが、その隣には、第2の内側に傾斜した又は斜めになった上面229bが、その隣には、第2の外側上面229cがある。図2B及び図2Cに示すように、傾斜した上面229bは、内縁232に向かって(例えば、第1リング構成要素220及び/又は基板150に向かって)内側に傾斜している。
処理の間、内側に傾斜した上面228(図3)及び内側上面229a(図4A〜4C)は、第2リング構成要素230の内縁232、第1リング構成要素220の上面218、及び基板150に向かってプラズマを集中させる、さもなくば導く。こうして、内側に傾斜した上面228(図3)及び内側上面229a(図4A〜図4C)は、プラズマを第2リング構成要素230の外縁224及びカバーリング272から離れる方へ導く。
第2リング構成要素230は、上面228と下面231との間で測定した、内側厚さD1及び外側厚さD2を有する。図4A〜図4Cに示す第2リング構成要素230の場合、内側厚さD1は、内側上面229aと下面231との間で測定され、外側厚さD2は、外側上面229cと下面231との間で測定される。内側厚さD1は外側厚さD2より小さい。この状況が、図3及び図4A〜図4Cに示されている。第2リング構成要素230の内側厚さD1は、約1mm、約1.8mm、約2mm又は約2.5mmから約3mm、約4mm、約5mm又は約6mmの範囲である。第2リング構成要素230の外側厚さD2は、約1mm、約2mm又は約3mmから約5mm、約7mm、約10mm、約12mm又は約15mmの範囲である。
図5は、1つ以上の実施形態による、エッジリング210、スライドリング520、及び可調整チューニングリング250を含むプロセスキット500の拡大部分断面図を示す。スライドリング520は、エッジリング210の下に配置されている。スライドリング520は、上面512と下面514を有する。スライドリング520の上面512は、第2リング構成要素230の下面231と接触している。可調整チューニングリング250は、スライドリング520の下に配置されている。可調整チューニングリング250の上面254は、スライドリング520の下面514と接触している。
1つ以上の実施形態では、スライドリング520がない場合、プラズマは、処理の間に可調整チューニングリング250の一部を浸食する可能性がある。スライドリング520は、第2リング構成要素230と可調整チューニングリング250との間に配置されると、第2リング構成要素230と可調整チューニングリング250との間に(プラズマの浸食作用から)発生して積もる微粒子の量を減らす。これは、スライドリング520を備えずに、第2リング構成要素230と可調整チューニングリング250が直接接触するのとは対照的である。
エッジリング210の第1リング構成要素220は、エッジリング210の第2リング構成要素230と接続しており、この接続では第2リング構成要素230は第1リング構成要素220に対して移動可能であり、こうしてそれらの間に間隙(図5には図示せず)を形成している。アクチュエータ機構280は、可調整チューニングリング250の下面256と接続している。アクチュエータ機構280が、可調整チューニングリング250及びスライドリング520を移動させる、又は動かすことで、スライドリング520の上面512と第2リング構成要素230の下面231との間の間隙253を変化させる。同様に、アクチュエータ機構280は、可調整チューニングリング250及び、第2リング構成要素230と接触しているスライドリング520を移動させる、又は動かすことで、第2リング構成要素230間の間隙の大きさを変化させる。
1つ以上の実施形態では、スライドリング520は本体又はマトリックスを含み、この本体又はマトリックスはアルミニウム又はアルミニウム合金から作られるか又はそれを含み得る。スライドリング520の本体又はマトリックスは、耐プラズマ性のコーティング又は膜で完全に又は部分的に被覆され得る。ここでは、このコーティング又は膜は、陽極酸化物(例えば、任意の陽極酸化処理によって形成された酸化アルミニウム層)、酸化イットリウム、酸化ハフニウム、炭化ケイ素、それらの酸化物、又はそれらの任意の組み合わせを含む。別の諸実施形態では、スライドリング520は、2つ以上のリングを備える分割構造など、様々な材料の2つ以上の区間又は部分を含み得る。例えば、スライドリング520は、1つ以上の耐プラズマ性材料(例えば、炭化ケイ素)から作られた又はそれを含むリングを含む上部区間と、一つ以上の導電性材料(例えば、アルミニウム又はアルミニウム合金)から作られた又はそれを含むリングを含む下部区間とを備え得る。スライドリング520の下部区間は静電チャック202との高周波接続を提供する。スライドリング520を形成する2つ以上の区間は、互いに接着されるか又は重力によって一緒に保持され得る。1つ以上の実施例では、スライドリング520の上部区間(例えば、炭化ケイ素)と下部区間(例えば、アルミニウム又はアルミニウム合金)は接着されるか、さもなくば拡散アルミニウム接合によって接合されて、冷却プレート204と高周波接続し得るスライドリング520を形成し得る。
図6は、1つ以上の実施形態による、可調整チューニングリング250とアクチュエータ機構280との間に配置された電気絶縁支持リング620を含むプロセスキット600の拡大部分断面図を示す。各アクチュエータ機構280はリフトピン260を備える。例えば、電気絶縁支持リング620は、可調整チューニングリング250とリフトピン260との間にそれらと接触して位置決め、さもなくば配置される。電気絶縁支持リング620が可調整チューニングリング250とアクチュエータ機構280との間に配置されると、可調整チューニングリング250とアクチュエータ機構280との間に発生して積もる微粒子の量は、電気絶縁支持リング620が使用されずに代わりにリフトピン260が可調整チューニングリング250と直接接触又は接続している場合と比較して、減少する。
電気絶縁支持リング620は上面622及び下面624を有する。1つ以上の実施形態では、図6に示されるように、上面622及び下面624の各々は、独立して1つ以上のアライメントカップリング632及び634を備える。アライメントカップリング632は、上面622に配置された雄型カップリングであり、アライメントカップリング634は、下面624に配置された雌型カップリングである。あるいは、図示されていないが、アライメントカップリング632を雌型カップリングとし、アライメントカップリング634を雄型カップリングとし得る。図6に示すように、可調整チューニングリング250の下面256のアライメントカップリング257(図示の雌型カップリング)と、電気絶縁支持リング620の上面622に配置されたアライメントカップリング632とが嵌合して、それらの間に相互型又は嵌合型の外形を形成する。図示されていない別の一実施形態では、可調整チューニングリング250も電気絶縁支持リング620もアライメントカップリングを有しておらず、電気絶縁支持リング620の上面622は可調整チューニングリング250の下面256と接触している。
別の一実施形態では、アライメントカップリング634は、電気絶縁支持リング620の下面624内に形成された、1つ、2つ、3つ、4つ、又はそれ以上の雌型カップリング(スロット又は穴など)であるか又はそれらを備え得る。雌型アライメントカップリング634はリフトピン260と嵌合し得る。したがって、いくつかの実施例では、リフトピン260の数と同じ数の雌型アライメントカップリング634がある。1つ以上の実施例では、電気絶縁支持リング620は、2つ、3つ、4つ、又はそれ以上のアライメントカップリング634を有する。ここでは、このアライメントカップリングは、電気絶縁支持リング620の下面624から電気絶縁支持リング620の上面622に向かって延びるスロットであり、各スロットはその中に配置されたリフトピン260を収容する。図示されていない別の一実施形態では、電気絶縁支持リング620はアライメントカップリングを有していないので、リフトピン260が電気絶縁支持リング620及び可調整チューニングリング250を上下させるときに、電気絶縁支持リング620の下面624に直接接触する。
電気絶縁支持リング620は1つ以上のポリマー材料を含み、このポリマー材料は、1つ以上のフッ素化炭素、フッ素化炭化水素、熱硬化性架橋ポリスチレンコポリマー(例えば、REXOLITE(登録商標)ポリマー)、セラミック、又はそれらの任意の組み合わせであるか若しくはそれらを含み得る。1つ以上の実施例では、電気絶縁支持リング620はポリテトラフルオロエチレン(PTFE)材料を含む。
図6は、第2リング構成要素230の下面231の雄型カップリングである上側アライメントカップリングと、可調整チューニングリング250の上面254の雌型カップリングである下側アライメントカップリングを示してるが、下面231及び上面254の各々は、(図2A〜図2Jに示すように)任意の種類の雄型又は雌型カップリングを独立して有し得る。同様に、(図1C及び図1Dに示すように)カップリングを用いないことで、第2リング構成要素230の下面231と可調整チューニングリング250の上面254は、カップリングなしで互いに接触し得る。
図7A及び図7Bは、1つ以上の実施形態による、アクチュエータ機構280の配置位置を示している、可調整チューニングリング250の底面図を示す。図7Aは、可調整チューニングリング250の下面256に配置された3つの位置702を示す。一実施例では、これらの位置702は、リフトピン260などのアクチュエータ機構280の上端が下面256に接触する位置にある。3つの位置702は、約110度から約130度、約115度から約125度、又は約118度から約122度、例えば、約120度である角度αだけ互いに離間している。ここで、角度は可調整チューニングリング250の中心から測定されている。
図7Bは、可調整チューニングリング250の下面256に配置された4つの位置702を示す。別の一実施例では、これらの位置702の各々は、リフトピン260などのアクチュエータ機構280の上端が下面256に接触する位置にある。4つの位置702は、約80度から約100度、約85度から約95度、又は約88度から約92度、例えば、約90度である角度αだけ互いに離間している。ここで、角度は可調整チューニングリング250の中心から測定されている。
図8は、1つ以上の実施形態による、アライメントカップリング259を有する可調整チューニングリング250を含むプロセスキット800の拡大部分断面図を示す。ここでは、このアライメントカップリング259を用いて、アクチュエータ機構280の少なくとも一部分を収容する。アライメントカップリング259は、可調整チューニングリング250の下面256内に形成された1つ、2つ、3つ、4つ、又はそれ以上の雌型カップリング(スロット又は穴など)であるか又はそれらを備え得る。
図8に示すように、雌型アライメントカップリング259はリフトピン260と嵌合し得る。したがって、いくつかの実施例では、リフトピン260の数と同じ数の雌型アライメントカップリング259がある。1つ以上の実施例では、可調整チューニングリング250は、2つ、3つ、4つ、又はそれ以上のアライメントカップリング259を有し、このアライメントカップリング259は、可調整チューニングリング250の下面256から可調整チューニングリング250の上面254に向かって延びるスロットであり、各スロットはその中に配置されたリフトピン260を収容する。アライメントカップリング259は、下面256から距離D3だけ可調整チューニングリング250内に延び得る。例えば、距離D3は約1mm、約2mm、約3mm又は約4mmから約5mm、約7mm、約10mm、約12mm又は約15mmの範囲であり得る。
図8は、第2リング構成要素230の下面231の雌型カップリングである上側アライメントカップリングと、可調整チューニングリング250の上面254の雄型カップリングである下側アライメントカップリングを示してるが、下面231及び上面254の各々は、(図2A〜図2Jに示すように)任意の種類の雄型又は雌型カップリングを独立して有し得る。同様に、(図1C及び図1Dに示すように)カップリングを用いないことで、第2リング構成要素230の下面231と可調整チューニングリング250の上面254は、カップリングなしで互いに接触し得る。
図9A及び図9Bは、1つ以上の実施形態による、図8に示す可調整チューニングリング250の底面図を示す。図9Aは、可調整チューニングリング250に形成され、その中に点902を含む3つのスロット又は雌型アライメントカップリング259を示す。一実施例では、これらの点902は、リフトピン260などのアクチュエータ機構280が雌型アライメントカップリング259内に挿入されるか、さもなくば配置される位置にある。3つのスロット又は雌型アライメントカップリング259は、約110度から約130度、約115度から約125度、又は約118度から約122度、例えば、約120度である角度αだけ互いに離間している。ここで、角度は可調整チューニングリング250の中心から測定されている。
図9Bは、可調整チューニングリング250に形成され、その中に点902を含む4つのスロット又は雌型アライメントカップリング259を示す。別の一実施例では、これらの点902は、リフトピン260などのアクチュエータ機構280が雌型アライメントカップリング259内に挿入されるか、さもなくば配置される位置にある。4つのスロット又は雌型アライメントカップリング259は、約80度から約100度、約85度から約95度、又は約88度から約92度、例えば、約90度である角度αだけ互いに離間している。ここで、角度は可調整チューニングリング250の中心から測定されている。
本開示の実施形態はさらに、以下の段落1〜24のうちのいずれか1つ以上に関連する。
(段落1)
第1リング構成要素と第2リング構成要素とを有するエッジリングであって、第1リング構成要素は第2リング構成要素と接続しており、この接続では第2リング構成要素は第1リング構成要素に対して移動可能であり、こうしてそれらの間に間隙を形成しており、第2リング構成要素は上面と下面とを有しているエッジリングと、エッジリングの下に配置されるスライドリングであって、スライドリングは上面と下面とを有し、スライドリングの上面は第2リング構成要素の下面と接触しているスライドリングと、スライドリングの下に配置された可調整チューニングリングであって、可調整チューニングリングは上面と下面を有し、可調整チューニングリングの上面はスライドリングの下面と接触している可調整チューニングリングと、可調整チューニングリングの下面と接続するアクチュエータ機構であって、アクチュエータ機構が、可調整チューニングリングを動かすように構成されることで、第1リング構成要素と第2リング構成要素との間の間隙を変化させているアクチュエータ機構とを備える基板処理チャンバ用のプロセスキット。
(段落2)
基板を支持するように構成された基板支持部材と、基板支持部材によって支持されたプロセスキットであって、第1リング構成要素と第2リング構成要素とを有するエッジリングであって、第1リング構成要素は第2リング構成要素と接続しており、この接続では第2リング構成要素は第1リング構成要素に対して移動可能であり、こうしてそれらの間に間隙を形成しており、第2リング構成要素は上面と下面とを有しているエッジリングと、エッジリングの下に配置されたスライディングリングであって、スライドリングは上面と下面とを有し、スライドリングの上面は第2リング構成要素の下面と接触しているスライディングリングと、スライドリングの下に配置された可調整チューニングリングであって、可調整チューニングリングは上面と下面を有し、可調整チューニングリングの上面はスライドリングの下面と接触している可調整チューニングリングと、可調整チューニングリングの下面と接続するアクチュエータ機構であって、アクチュエータ機構が、可調整チューニングリングを動かすように構成されることで、第1リング構成要素と第2リング構成要素との間の間隙を変化させているアクチュエータ機構とを備えるプロセスキットとを備える処理チャンバ。
(段落3)
基板支持部材は、ベースと、ベースによって支持された冷却プレートと、冷却プレートの上面に配置された静電チャックとを備えている、段落2に記載の処理チャンバ。
(段落4)
スライドリングはマトリックスとコーティングを含んでいる、段落1〜3のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落5)
マトリックスはアルミニウム又はアルミニウム合金を含んでいる、段落1〜4のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落6)
コーティングは、酸化イットリウム、酸化ハフニウム、炭化ケイ素、及びそれらの任意の組み合わせからなる群から選択される材料を含んでいる、段落1〜5のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落7)
マトリックスは導電性材料を含み、コーティングは電気絶縁材料を含んでいる、段落1〜6のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落8)
スライドリングは、下部区間上に配置された上部区間を備え、上部区間は炭化ケイ素を含み、下部区間はアルミニウム又はアルミニウム合金を含んでいる、段落1〜7のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落9)
可調整チューニングリングとアクチュエータ機構との間に配置された電気絶縁支持リングをさらに備える、段落1〜8のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落10)
電気絶縁支持リングはポリテトラフルオロエチレン材料を含んでいる、段落9のプロセスキット又は処理チャンバ。
(段落11)
アクチュエータ機構はリフトピンを備え、電気絶縁支持リングは、可調整チューニングリングとリフトピンとの間でそれらと接触している、段落9のプロセスキット又は処理チャンバ。
(段落12)
可調整チューニングリングの下面のアライメントカップリングと電気絶縁支持リングの上面のアライメントカップリングとが嵌合して、それらの間に嵌合型の外形を形成している、段落8のプロセスキット又は処理チャンバ。
(段落13)
電気絶縁支持リング嵌合部は、電気絶縁支持リング嵌合部の下面から電気絶縁支持リング嵌合部の上面に向かって延びる3つ以上のスロットを備え、各スロットはその中に配置されたリフトピンを収容している、段落12のプロセスキット又は処理チャンバ。
(段落14)
可調整チューニングリングは導電性材料を含んでいる、段落1〜13のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落15)
導電性材料はアルミニウム又はアルミニウム合金を含んでいる、段落14のプロセスキット又は処理チャンバ。
(段落16)
第2リング構成要素は炭化ケイ素を含んでいる、段落1〜15のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落17)
第1リング構成要素は、その中に形成された段付き表面を備え、第1リング構成要素の段付き表面は、第2リング構成要素の下面の一部分と接続している、段落1〜16のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落18)
可調整チューニングリングは、可調整チューニングリングの下面から可調整チューニングリングの上面に向かって延びる3つ以上のスロットを備え、各スロットはその中に配置されたリフトピンを収容している、段落1〜17のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落19)
可調整チューニングリングは、可調整チューニングリングの周りに配置され、可調整チューニングリングの中心からの測定で約110度から約130度の角度で互いに離間した3つのスロットを備えている、段落18のプロセスキット又は処理チャンバ。
(段落20)
可調整チューニングリングは、可調整チューニングリングの周りに配置され、可調整チューニングリングの中心からの測定で約80度から約100度の角度で互いに離間した4つのスロットを備えている、段落18のプロセスキット又は処理チャンバ。
(段落21)
アクチュエータ機構は2つ以上のリフトピンを備え、リフトピンの各々は、第1端部と第2端部とを有し、リフトピンの第1端部は、可調整チューニングリングの下面に接触しており、リフトピンの第2端部は、リフト機構と連通している、段落1〜20のいずれか1つに記載のプロセスキット又は処理チャンバ。
(段落22)
アクチュエータ機構は4つのリフトピンを備え、リフトピンの第1端部の各々は、可調整チューニングリングの下面の点に接触しており、下面の点は、可調整チューニングリングの中心からの測定で約80度から約100度の角度で互いに離間している、段落21のプロセスキット。
(段落23)
段落1〜22のいずれか1つに記載のプロセスキット又は処理チャンバを用いて実行される、基板を処理する方法。
(段落24)
段落1〜22のいずれか1つに記載のプロセスキット又は処理チャンバに配置された基板支持部材上に基板を位置決めする工程と、基板上にプラズマを形成する工程と、エッジリングの第2リング構成要素の高さを、前記構成要素と接続された可調整チューニングリングを動かすことにより調整して、基板の端部でイオンの方向を変える工程とを含む、基板を処理する方法。
上記は特定の実施形態を対象としているが、その基本的範囲から逸脱することなく他の及びさらなる実施形態を創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。
特定の実施形態及び構成が、1組の数値上の上限及び1組の数値上の下限を使用して説明されてきた。特に示さない限り、任意の2つの値の組み合わせ、例えば任意のより低い値とより高い値との組み合わせ、任意の2つのより低い値の組み合わせ、及び/又は任意の2つのより高い値の組み合わせを含む範囲は、考慮されるべきである。ある下限、上限、及び範囲が以下の1つ以上の請求項に現れる。

Claims (15)

  1. 第1リング構成要素と第2リング構成要素とを有するエッジリングであって、
    第1リング構成要素は第2リング構成要素と接続しており、この接続では第2リング構成要素は第1リング構成要素に対して移動可能であり、こうしてそれらの間に間隙を形成しており、
    第2リング構成要素は上面と下面とを有しているエッジリングと、
    エッジリングの下に配置されたスライディングリングであって、
    スライドリングは上面と下面とを有し、
    スライドリングの上面は第2リング構成要素の下面と接触しているスライディングリングと、
    スライドリングの下に配置された可調整チューニングリングであって、
    可調整チューニングリングは上面と下面を有し、
    可調整チューニングリングの上面はスライドリングの下面と接触している可調整チューニングリングと、
    可調整チューニングリングの下面と接続するアクチュエータ機構であって、
    アクチュエータ機構が、可調整チューニングリングを動かすように構成されることで、第1リング構成要素と第2リング構成要素との間の間隙を変化させているアクチュエータ機構とを備える基板処理チャンバ用のプロセスキット。
  2. スライドリングはマトリックスとコーティングを含み、マトリックスは導電性材料を含み、コーティングは電気絶縁材料を含んでいる、請求項1に記載のプロセスキット。
  3. スライドリングはマトリックスとコーティングを含み、マトリックスはアルミニウム又はアルミニウム合金を含み、コーティングは、酸化イットリウム、酸化ハフニウム、炭化ケイ素、及びそれらの任意の組み合わせからなる群から選択される材料を含んでいる、請求項1に記載のプロセスキット。
  4. スライドリングは、下部区間上に配置された上部区間を備え、上部区間は炭化ケイ素を含み、下部区間はアルミニウム又はアルミニウム合金を含んでいる、請求項1に記載のプロセスキット。
  5. 可調整チューニングリングとアクチュエータ機構との間に配置された電気絶縁支持リングをさらに備える、請求項1に記載のプロセスキットであって、
    アクチュエータ機構はリフトピンを備え、
    電気絶縁支持リングは、可調整チューニングリングとリフトピンとの間でそれらと接触しているプロセスキット。
  6. 可調整チューニングリングの下面のアライメントカップリングと電気絶縁支持リングの上面のアライメントカップリングとが嵌合して、それらの間に嵌合型の外形を形成している、請求項5に記載のプロセスキット。
  7. 可調整チューニングリングとアクチュエータ機構との間に配置された電気絶縁支持リングをさらに備える、請求項1に記載のプロセスキットであって、
    電気絶縁支持リング嵌合部は、電気絶縁支持リング嵌合部の下面から電気絶縁支持リング嵌合部の上面に向かって延びる3つ以上のスロットを備え、
    各スロットはその中に配置されたリフトピンを収容しているプロセスキット。
  8. 第1リング構成要素は、その中に形成された段付き表面を備え、第1リング構成要素の段付き表面は、第2リング構成要素の下面の一部分と接続している、請求項1に記載のプロセスキット。
  9. 可調整チューニングリングは、可調整チューニングリングの下面から可調整チューニングリングの上面に向かって延びる3つ以上のスロットを備え、各スロットはその中に配置されたリフトピンを収容している、請求項1に記載のプロセスキット。
  10. 可調整チューニングリングは、可調整チューニングリングの周りに配置され、可調整チューニングリングの中心からの測定で約110度から約130度の角度で互いに離間した3つのスロットを備えている、又は
    可調整チューニングリングは、可調整チューニングリングの周りに配置され、可調整チューニングリングの中心からの測定で約80度から約100度の角度で互いに離間した4つのスロットを備えている、請求項9に記載のプロセスキット。
  11. アクチュエータ機構は2つ以上のリフトピンを備え、リフトピンの各々は、第1端部と第2端部とを有し、リフトピンの第1端部は、可調整チューニングリングの下面に接触しており、リフトピンの第2端部は、リフト機構と連通している、請求項1に記載のプロセスキット。
  12. アクチュエータ機構は4つのリフトピンを備え、リフトピンの第1端部の各々は、可調整チューニングリングの下面の点に接触しており、下面の点は、可調整チューニングリングの中心からの測定で約80度から約100度の角度で互いに離間している、請求項11に記載のプロセスキット。
  13. 基板を支持するように構成された基板支持部材と、
    基板支持部材によって支持されたプロセスキットであって、
    第1リング構成要素と第2リング構成要素とを有するエッジリングであって、
    第1リング構成要素は第2リング構成要素と接続しており、この接続では第2リング構成要素は第1リング構成要素に対して移動可能であり、こうしてそれらの間に間隙を形成しており、
    第2リング構成要素は上面と下面とを有しているエッジリングと、
    エッジリングの下に配置されたスライディングリングであって、
    スライドリングは上面と下面とを有し、
    スライドリングの上面は第2リング構成要素の下面と接触しているスライディングリングと、
    スライドリングの下に配置された可調整チューニングリングであって、
    可調整チューニングリングは上面と下面を有し、
    可調整チューニングリングの上面はスライドリングの下面と接触している可調整チューニングリングと、
    可調整チューニングリングの下面と接続するアクチュエータ機構であって、
    アクチュエータ機構が、可調整チューニングリングを動かすように構成されることで、第1リング構成要素と第2リング構成要素との間の間隙を変化させているアクチュエータ機構とを備えるプロセスキットとを備える処理チャンバ。
  14. スライドリングはマトリックスとコーティングを含み、マトリックスは導電性材料を含み、コーティングは電気絶縁材料を含んでいる、又は
    基板支持部材は、ベースと、ベースによって支持された冷却プレートと、冷却プレートの上面に配置された静電チャックとを備えている、請求項13に記載の処理チャンバ。
  15. 請求項13に記載の処理チャンバに配置された基板支持部材上に基板を位置決めする工程と、
    基板上にプラズマを形成する工程と、
    エッジリングの第2リング構成要素の高さを、前記構成要素と接続された可調整チューニングリングを動かすことにより調整して、基板の端部でイオンの方向を変える工程とを含む、基板を処理する方法。
JP2019098356A 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット Pending JP2019208025A (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
IN201841019829 2018-05-28
IN201841019829 2018-05-28
US16/131,909 US10790123B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,909 2018-09-14
US16/131,903 US10600623B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,903 2018-09-14
US16/131,893 2018-09-14
US16/131,893 US11201037B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control

Publications (1)

Publication Number Publication Date
JP2019208025A true JP2019208025A (ja) 2019-12-05

Family

ID=68613513

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019098175A Pending JP2019208023A (ja) 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット
JP2019098356A Pending JP2019208025A (ja) 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット
JP2019098239A Pending JP2019208024A (ja) 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019098175A Pending JP2019208023A (ja) 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019098239A Pending JP2019208024A (ja) 2018-05-28 2019-05-27 端部均一性制御のための可調整チューニングリングを有するプロセスキット

Country Status (5)

Country Link
US (4) US10790123B2 (ja)
JP (3) JP2019208023A (ja)
KR (3) KR20190135426A (ja)
CN (6) CN210123716U (ja)
TW (6) TWM586869U (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230007643A (ko) * 2021-07-06 2023-01-13 (주)아이씨디 플라즈마 처리 장치

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11328893B2 (en) * 2018-12-13 2022-05-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Plasma processing system
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
JP2023516076A (ja) * 2020-03-04 2023-04-17 ラム リサーチ コーポレーション 調整可能なエッジシースシステムでの反射電力最小化
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
US11492697B2 (en) * 2020-06-22 2022-11-08 Applied Materials, Inc. Apparatus for improved anode-cathode ratio for rf chambers
JP2023546350A (ja) * 2020-10-05 2023-11-02 ラム リサーチ コーポレーション プラズマ処理システム用の可動エッジリング
CN112397366B (zh) * 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
KR20230027251A (ko) * 2020-11-19 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판 극단 에지 보호를 위한 링
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
US20220275500A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for processing a semiconductor substrate
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
WO2022225808A1 (en) * 2021-04-19 2022-10-27 Lam Research Corporation Shadow ring alignment for substrate support
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
EP4148774A1 (en) * 2021-09-08 2023-03-15 Mattson Technology, Inc. Conductive member for cleaning focus ring of a plasma processing apparatus
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024005850A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Moveable edge rings for plasma processing systems
WO2024064049A1 (en) * 2022-09-23 2024-03-28 Lam Research Corporation Bellows seal for low thru-force actuation of temperature probe across vacuum interface

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100188454B1 (ko) 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) * 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
US6511543B1 (en) 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
US20090041568A1 (en) 2006-01-31 2009-02-12 Tokyo Electron Limited Substrate processing apparatus, substrate placing table used for same, and member exposed to plasma
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) * 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9783889B2 (en) * 2012-03-26 2017-10-10 Applied Materials, Inc. Apparatus for variable substrate temperature control
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US10099245B2 (en) * 2013-03-14 2018-10-16 Applied Materials, Inc. Process kit for deposition and etching
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) * 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
KR102604063B1 (ko) * 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
TW202341281A (zh) 2017-04-26 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230007643A (ko) * 2021-07-06 2023-01-13 (주)아이씨디 플라즈마 처리 장치
KR102572318B1 (ko) * 2021-07-06 2023-08-29 (주)아이씨디 플라즈마 처리 장치

Also Published As

Publication number Publication date
JP2019208023A (ja) 2019-12-05
KR20190135426A (ko) 2019-12-06
KR20190135428A (ko) 2019-12-06
CN110544610A (zh) 2019-12-06
CN110544611A (zh) 2019-12-06
JP2019208024A (ja) 2019-12-05
TW202004985A (zh) 2020-01-16
TW202004837A (zh) 2020-01-16
US20190363003A1 (en) 2019-11-28
US20190362949A1 (en) 2019-11-28
TWM586869U (zh) 2019-11-21
KR20190135429A (ko) 2019-12-06
US11728143B2 (en) 2023-08-15
US20210013014A1 (en) 2021-01-14
TW202004956A (zh) 2020-01-16
TWM592160U (zh) 2020-03-11
US10790123B2 (en) 2020-09-29
CN210120110U (zh) 2020-02-28
TWM592162U (zh) 2020-03-11
US20190362948A1 (en) 2019-11-28
CN210123715U (zh) 2020-03-03
CN110544609A (zh) 2019-12-06
US11201037B2 (en) 2021-12-14
US10600623B2 (en) 2020-03-24
CN210123716U (zh) 2020-03-03

Similar Documents

Publication Publication Date Title
JP2019208025A (ja) 端部均一性制御のための可調整チューニングリングを有するプロセスキット
JP7206028B2 (ja) エッジ均一性制御のための調整可能な延長電極
KR102501697B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
TWI747837B (zh) 用於電漿處理腔室的邊緣環組件及用於電漿處理的系統
CN102315150B (zh) 用于等离子体处理室的可移动基环
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
US20190088518A1 (en) Substrate support with cooled and conducting pins
TW202022977A (zh) 載置台、邊環之定位方法及基板處理裝置
JP2020017685A (ja) 基板処理装置及びプラズマシースの高さ制御方法